De Wikipedia, la enciclopedia libre
Saltar a navegación Saltar a búsqueda

La Raspberry Pi usa un sistema en un chip como una microcomputadora casi completamente contenida . Este SoC no contiene ningún tipo de almacenamiento de datos, lo cual es común para un SoC de microprocesador.

Un sistema en un chip ( SoC / ˌ ɛ s ˌ s i / es-OH- VER o / s ɒ k / calcetín ) [nb 1] es un circuito integrado (también conocido como un "chip") que integra todas o la mayoría de los componentes de una computadora u otro sistema electrónico . Estos componentes casi siempre incluyen una unidad de procesamiento central (CPU), memoria , puertos de entrada / salida y almacenamiento secundario, a menudo junto con otros componentes como módems de radio y una unidad de procesamiento de gráficos (GPU), todo en un solo sustrato o microchip. [1] Puede contener funciones de procesamiento de señales digitales , analógicas , mixtas y , a menudo, de señales de radiofrecuencia (de lo contrario, se considera solo un procesador de aplicaciones).

Los SoC de alto rendimiento a menudo se combinan con chips de memoria y almacenamiento secundario dedicados y físicamente separados (casi siempre LPDDR y eUFS o eMMC , respectivamente), que pueden colocarse en capas sobre el SoC en lo que se conoce como configuración de paquete en paquete (PoP) o colocarse cerca del SoC. Además, los SoC pueden utilizar módems inalámbricos independientes. [2]

Los SoC contrastan con la arquitectura de PC tradicional basada en la placa base , que separa los componentes en función de la función y los conecta a través de una placa de circuito de interfaz central. [nb 2] Mientras que una placa base aloja y conecta componentes desmontables o reemplazables, los SoC integran todos estos componentes en un solo circuito integrado. Un SoC normalmente integrará una CPU, interfaces gráficas y de memoria, [nb 3] conectividad de disco duro y USB, [nb 4] memorias de acceso aleatorio y de solo lectura y almacenamiento secundario y / o sus controladores en un solo circuito, mientras que una placa base conectaría estos módulos como componentes discretos o tarjetas de expansión .

Un SoC integra un microcontrolador , un microprocesador o quizás varios núcleos de procesador con periféricos como una GPU , Wi-Fi y módems de radio de red celular , y / o uno o más coprocesadores . [3] De manera similar a cómo un microcontrolador integra un microprocesador con circuitos periféricos y memoria, un SoC puede verse como la integración de un microcontrolador con periféricos aún más avanzados . Para obtener una descripción general de la integración de los componentes del sistema, consulte Integración del sistema .

Los diseños de sistemas informáticos más estrechamente integrados mejoran el rendimiento y reducen el consumo de energía , así como el área de la matriz de semiconductores que los diseños de varios chips con funcionalidad equivalente. Esto tiene el costo de una menor capacidad de reemplazo de los componentes. Por definición, los diseños de SoC están total o casi totalmente integrados en diferentes módulos de componentes . Por estas razones, ha habido una tendencia general hacia una integración más estrecha de los componentes en la industria del hardware informático , en parte debido a la influencia de los SoC y las lecciones aprendidas de los mercados de la informática móvil e integrada. Los SoC pueden verse como parte de una tendencia más amplia hacia la computación integrada yaceleración de hardware .

Los SoC son muy comunes en la informática móvil (como en los teléfonos inteligentes y las tabletas ) y en los mercados de la informática de vanguardia . [4] [5] También se utilizan comúnmente en sistemas integrados como enrutadores WiFi e Internet de las cosas .

Tipos [ editar ]

Sistema basado en microcontrolador en un chip

En general, hay cuatro tipos distinguibles de SoC:

  • SoC construidos alrededor de un microcontrolador ,
  • SoC construidos alrededor de un microprocesador , que a menudo se encuentran en teléfonos móviles;
  • SoC de circuitos integrados especializados para aplicaciones específicas diseñados para aplicaciones específicas que no encajan en las dos categorías anteriores, y
  • SoC programables (PSoC), donde la mayor parte de la funcionalidad es fija pero alguna funcionalidad se puede reprogramar de una manera análoga a una matriz de puertas programable en campo .
AMD Am286ZX / LX, SoC basado en Intel 80286

Aplicaciones [ editar ]

Los SoC se pueden aplicar a cualquier tarea informática. Sin embargo, se utilizan normalmente en la informática móvil, como tabletas, teléfonos inteligentes, relojes inteligentes y netbooks, así como en sistemas integrados y en aplicaciones en las que anteriormente se utilizarían microcontroladores .

Sistemas integrados [ editar ]

Donde anteriormente solo se podían usar microcontroladores, los SoC están ganando protagonismo en el mercado de sistemas integrados. Una integración más estrecha del sistema ofrece una mayor confiabilidad y un tiempo medio entre fallas , y los SoC ofrecen una funcionalidad y una potencia de cálculo más avanzadas que los microcontroladores. [6] Las aplicaciones incluyen aceleración de IA , visión artificial integrada , [7] recopilación de datos, telemetría , procesamiento de vectores e inteligencia ambiental . A menudo, los SoC integrados tienen como objetivo el Internet de las cosas , el Internet de las cosas industrial y los mercados de informática de vanguardia .

Computación móvil [ editar ]

Los SoC basados ​​en computación móvil siempre incluyen procesadores, memorias, cachés en chip , capacidades de redes inalámbricas y, a menudo , hardware y firmware de cámaras digitales . Con el aumento de los tamaños de memoria, los SoC de gama alta a menudo no tendrán memoria ni almacenamiento flash y, en su lugar, la memoria y la memoria flash se colocarán justo al lado o encima ( paquete en paquete ) del SoC. [8] Algunos ejemplos de SoC de computación móvil incluyen:

  • Samsung Electronics : lista , generalmente basada en ARM7 y ARM9
    • Exynos , utilizado principalmente por la serie de teléfonos inteligentes Galaxy de Samsung
  • Qualcomm :
    • Snapdragon ( lista ), utilizado en muchos teléfonos inteligentes LG , Xiaomi , Google Pixel , HTC y Samsung Galaxy. En 2018, los SoC Snapdragon se están utilizando como la columna vertebral de las computadoras portátiles que ejecutan Windows 10 , comercializadas como "PC siempre conectadas". [9] [10]

Ordenadores personales [ editar ]

En 1992, Acorn Computers produjo la gama de computadoras personales A3010, A3020 y A4000 con el SoC ARM250. Combinó el procesador Acorn ARM2 original con un controlador de memoria (MEMC), un controlador de video (VIDC) y un controlador de E / S (IOC). En las computadoras anteriores con tecnología Acorn ARM , estos eran cuatro chips discretos. El chip ARM7500 era su SoC de segunda generación, basado en los controladores ARM700, VIDC20 e IOMD, y estaba ampliamente autorizado en dispositivos integrados como decodificadores, así como en computadoras personales Acorn posteriores.

Los SoC se están aplicando a las computadoras personales convencionales a partir de 2018. [9] Se aplican particularmente a las computadoras portátiles y tabletas . Los fabricantes de tabletas y computadoras portátiles han aprendido lecciones de los mercados de sistemas integrados y teléfonos inteligentes sobre la reducción del consumo de energía, mejor rendimiento y confiabilidad de una integración más estrecha de los módulos de hardware y firmware , y LTE y otras comunicaciones de red inalámbrica integradas en el chip ( controladores de interfaz de red integrados ). [11]

Basado en ARM :

  • Snapdragon de Qualcomm [10]
  • ARM250
  • ARM7500 (FE)
  • Manzana M1

basado en x86 :

  • Intel Pentium Gold 4415Y, utilizado en Microsoft Surface Go

Estructura [ editar ]

Un SoC consta de unidades funcionales de hardware , incluidos microprocesadores que ejecutan código de software , así como un subsistema de comunicaciones para conectar, controlar, dirigir e interactuar entre estos módulos funcionales.

Componentes funcionales [ editar ]

Núcleos de procesador [ editar ]

Un SoC debe tener al menos un núcleo de procesador , pero normalmente un SoC tiene más de un núcleo. Los núcleos del procesador pueden ser un microcontrolador , un microprocesador (μP), [12] procesador de señales digitales (DSP) o un núcleo de procesador de conjunto de instrucciones específico de la aplicación (ASIP). [13] Los ASIP tienen conjuntos de instrucciones que se personalizan para un dominio de aplicación y están diseñados para ser más eficientes que las instrucciones de propósito general para un tipo específico de carga de trabajo. Los SoC multiprocesador tienen más de un núcleo de procesador por definición.

Ya sea de un solo núcleo, de varios núcleos o de muchos núcleos, los núcleos de procesador SoC suelen utilizar arquitecturas de conjuntos de instrucciones RISC . Las arquitecturas RISC son ventajosas sobre los procesadores CISC para SoC porque requieren menos lógica digital y, por lo tanto, menos energía y área a bordo , y en los mercados de computación móvil e integrada , el área y la energía a menudo están muy restringidas. En particular, los núcleos de procesador SoC a menudo usan la arquitectura ARM porque es un procesador suave especificado como núcleo IP y es más eficiente en energía que x86 . [12]

Memoria [ editar ]

Los SoC deben tener bloques de memoria de semiconductores para realizar su cálculo, al igual que los microcontroladores y otros sistemas integrados . Dependiendo de la aplicación, la memoria SoC puede formar una jerarquía de memoria y una jerarquía de caché . En el mercado de la informática móvil, esto es común, pero en muchos microcontroladores integrados de bajo consumo , esto no es necesario. Las tecnologías de memoria para SoC incluyen memoria de solo lectura (ROM), memoria de acceso aleatorio (RAM), ROM programable eléctricamente borrable ( EEPROM ) y memoria flash . [12] Al igual que en otros sistemas informáticos, la RAM se puede subdividir en RAM estática (SRAM) relativamente más rápida pero más cara y en RAM dinámica (DRAM), más lenta pero más barata . Cuando un SoC tiene una jerarquía de caché , la SRAM se utilizará normalmente para implementar los registros de procesador y las cachés L1 de los núcleos, mientras que la DRAM se utilizará para los niveles inferiores de la jerarquía de caché, incluida la memoria principal . La "memoria principal" puede ser específica de un solo procesador (que puede ser de varios núcleos ) cuando el SoC tiene varios procesadores , en cuyo caso es memoria distribuida y debe enviarse a través de § Comunicación entre módulosen chip para acceder a un procesador diferente. [13] Para un análisis más detallado de los problemas de la memoria de procesamiento múltiple, consulte coherencia de caché y latencia de memoria .

Interfaces [ editar ]

Los SoC incluyen interfaces externas , generalmente para protocolos de comunicación . A menudo se basan en estándares de la industria como USB , FireWire , Ethernet , USART , SPI , HDMI , I²C , etc. Estas interfaces diferirán según la aplicación prevista. También se pueden admitir protocolos de redes inalámbricas como Wi-Fi , Bluetooth , 6LoWPAN y comunicación de campo cercano .

Cuando es necesario, los SoC incluyen interfaces analógicas que incluyen convertidores de analógico a digital y de digital a analógico , a menudo para el procesamiento de señales . Estos pueden interactuar con diferentes tipos de sensores o actuadores , incluidos los transductores inteligentes . Pueden interactuar con módulos o escudos específicos de la aplicación . [nb 5] O pueden ser internos al SoC, como si un sensor analógico está integrado en el SoC y sus lecturas deben convertirse en señales digitales para el procesamiento matemático.

Procesadores de señales digitales [ editar ]

Los núcleos de procesador de señal digital (DSP) a menudo se incluyen en los SoC. Realizan operaciones de procesamiento de señales en SoC para sensores , actuadores , recolección de datos , análisis de datos y procesamiento multimedia. Los núcleos DSP suelen presentar arquitecturas de conjunto de instrucciones de palabra de instrucción muy larga (VLIW) e instrucción única, datos múltiples (SIMD) y, por lo tanto, son muy susceptibles de explotar el paralelismo a nivel de instrucción a través del procesamiento paralelo y la ejecución superescalar . [13] : 4 Los núcleos DSP suelen presentar instrucciones específicas de la aplicación y, como tales, suelen ser procesadores de conjuntos de instrucciones específicos de la aplicación (ASIP). Dichas instrucciones específicas de la aplicación corresponden a unidades funcionales de hardware dedicadas que calculan esas instrucciones.

Las instrucciones típicas de DSP incluyen acumulación múltiple , transformada rápida de Fourier , suma múltiple fusionada y convoluciones .

Otro [ editar ]

Al igual que con otros sistemas informáticos, los SoC requieren fuentes de temporización para generar señales de reloj , controlar la ejecución de las funciones del SoC y proporcionar contexto de tiempo para las aplicaciones de procesamiento de señales del SoC, si es necesario. Las fuentes de tiempo más populares son los osciladores de cristal y los bucles de bloqueo de fase .

Periféricos SoC que incluyen contadores de tiempo, temporizadores en tiempo real y generadores de reinicio de encendido . Los SoC también incluyen reguladores de voltaje y circuitos de administración de energía .

Comunicación entre módulos [ editar ]

Los SoC comprenden muchas unidades de ejecución . Estas unidades a menudo deben enviar datos e instrucciones de un lado a otro. Debido a esto, todos los SoC, excepto los más triviales, requieren subsistemas de comunicaciones . Originalmente, al igual que con otras tecnologías de microcomputadoras , se utilizaron arquitecturas de bus de datos , pero recientemente los diseños basados ​​en redes de intercomunicación dispersas conocidas como redes en chip (NoC) han cobrado importancia y se prevé que superen las arquitecturas de bus para el diseño de SoC en un futuro próximo . [14]

Comunicación basada en bus [ editar ]

Históricamente, un bus informático global compartido normalmente conectaba los diferentes componentes, también llamados "bloques" del SoC. [14] Un bus muy común para las comunicaciones SoC es el estándar de arquitectura de bus de microcontrolador avanzado ( AMBA ) libre de regalías de ARM .

Los controladores de acceso directo a la memoria enrutan los datos directamente entre las interfaces externas y la memoria del SoC, sin pasar por la CPU o la unidad de control , lo que aumenta el rendimiento de datos del SoC. Esto es similar a algunos controladores de dispositivos de periféricos en arquitecturas de PC de módulos de múltiples chips basados ​​en componentes .

Los buses de computadora tienen una escalabilidad limitada y solo admiten hasta decenas de núcleos ( multinúcleo ) en un solo chip. [14] : xiii El retardo del cable no es escalable debido a la miniaturización continua , el rendimiento del sistema no se escala con la cantidad de núcleos conectados, la frecuencia de funcionamiento del SoC debe disminuir con cada núcleo adicional conectado para que la energía sea sostenible y los cables largos consumen grandes cantidades de energía eléctrica. Estos desafíos son prohibitivos para admitir sistemas de muchos núcleos en chip. [14] : xiii

Red en un chip [ editar ]

A fines de la década de 2010 , surgió una tendencia de los SoC que implementan subsistemas de comunicaciones en términos de una topología similar a una red en lugar de protocolos basados ​​en bus . Una tendencia hacia más núcleos de procesador en los SoC ha hecho que la eficiencia de la comunicación en el chip se convierta en uno de los factores clave para determinar el rendimiento y el costo general del sistema. [14] : xiii Esto ha llevado a la aparición de redes de interconexión con conmutación de paquetes basada en enrutadores conocidas como " redes en chip " (NoC) para superar los cuellos de botella de las redes basadas en bus. [14] : xiii

Las redes en chip tienen ventajas que incluyen enrutamiento específico de destino y aplicación , mayor eficiencia energética y menor posibilidad de contención de bus . Las arquitecturas de red en chip se inspiran en protocolos de red como TCP y el conjunto de protocolos de Internet para la comunicación en chip, [14] aunque normalmente tienen menos capas de red . Las arquitecturas de red óptimas de red en chip son un área en curso de gran interés de investigación. Las arquitecturas NoC van desde topologías de redes de computación distribuida tradicionales como toro , hipercubo ,mallas y redes de árboles a genética programación algoritmo de algoritmos aleatorios , tales como paseos aleatorios con ramificación y aleatorizado Tiempo de Vida (TTL).

Muchos investigadores de SoC consideran que las arquitecturas NoC son el futuro del diseño de SoC porque se ha demostrado que satisfacen de manera eficiente las necesidades de potencia y rendimiento de los diseños de SoC. Las arquitecturas NoC actuales son bidimensionales. El diseño de IC 2D tiene opciones limitadas de planificación de piso a medida que aumenta el número de núcleos en los SoC, por lo que a medida que surgen los circuitos integrados tridimensionales (3DIC), los diseñadores de SoC están buscando construir redes tridimensionales en chip conocidas como 3DNoC. [14]

Flujo de diseño [ editar ]

Flujo de diseño de SoC

Un sistema en un chip consta tanto del hardware , descrito en § Estructura , como del software que controla los núcleos, periféricos e interfaces del microcontrolador, microprocesador o procesador de señales digitales. El flujo de diseño de un SoC tiene como objetivo desarrollar este hardware y software al mismo tiempo, también conocido como co-diseño arquitectónico. El flujo de diseño también debe tener en cuenta las optimizaciones ( § Objetivos de optimización ) y las limitaciones.

La mayoría de los SoC se desarrollan a partir de especificaciones de núcleo IP de componentes de hardware precalificados para los elementos de hardware y las unidades de ejecución , colectivamente "bloques", descritos anteriormente, junto con controladores de dispositivos de software que pueden controlar su funcionamiento. De particular importancia son las pilas de protocolos que impulsan interfaces estándar de la industria como USB . Los bloques de hardware se ensamblan utilizando herramientas de diseño asistidas por computadora , específicamente herramientas de automatización de diseño electrónico ; los módulos de software se integran mediante un entorno de desarrollo integrado de software .

Los componentes de SoC también se diseñan a menudo en lenguajes de programación de alto nivel como C ++ , MATLAB o SystemC y se convierten a diseños RTL a través de herramientas de síntesis de alto nivel (HLS) como C a HDL o flujo a HDL . [15] Los productos HLS llamados "síntesis algorítmica" permiten a los diseñadores usar C ++ para modelar y sintetizar sistemas, circuitos, software y niveles de verificación, todo en un lenguaje de alto nivel comúnmente conocido por los ingenieros informáticos de una manera independiente de las escalas de tiempo, que generalmente se especifican en HDL. [dieciséis] Otros componentes pueden seguir siendo software y compilarse e integrarse en procesadores de núcleo blando incluidos en el SoC como módulos en HDL como núcleos IP .

Una vez que se ha definido la arquitectura del SoC, cualquier nuevo elemento de hardware se escribe en un lenguaje de descripción de hardware abstracto denominado nivel de transferencia de registro (RTL) que define el comportamiento del circuito, o se sintetiza en RTL a partir de un lenguaje de alto nivel mediante síntesis de alto nivel. Estos elementos están conectados entre sí en un lenguaje de descripción de hardware para crear el diseño de SoC completo. La lógica especificada para conectar estos componentes y convertir entre interfaces posiblemente diferentes proporcionadas por diferentes proveedores se llama lógica de pegamento .

Verificación de diseño [ editar ]

Se verifica la corrección lógica de los chips antes de enviarlos a una fundición de semiconductores . Este proceso se denomina verificación funcional y representa una parte significativa del tiempo y la energía gastados en el ciclo de vida del diseño del chip , a menudo cotizado como 70%. [17] [18] Con la creciente complejidad de los chips, se están utilizando lenguajes de verificación de hardware como SystemVerilog , SystemC , e y OpenVera . Los errores encontrados en la etapa de verificación se informan al diseñador.

Tradicionalmente, los ingenieros han empleado la aceleración de simulación, la emulación o la creación de prototipos en hardware reprogramable para verificar y depurar hardware y software para diseños de SoC antes de la finalización del diseño, conocido como tape-out . Los arreglos de puertas programables en campo (FPGA) se prefieren para la creación de prototipos de SoC porque los prototipos de FPGA son reprogramables, permiten la depuración y son más flexibles que los circuitos integrados específicos de la aplicación (ASIC). [19] [20]

Con una alta capacidad y un tiempo de compilación rápido, la aceleración y la emulación de la simulación son tecnologías poderosas que brindan una amplia visibilidad de los sistemas. Sin embargo, ambas tecnologías operan lentamente, del orden de MHz, lo que puede ser significativamente más lento, hasta 100 veces más lento, que la frecuencia de funcionamiento del SoC. Las cajas de aceleración y emulación también son muy grandes y caras, con más de 1 millón de dólares estadounidenses. [ cita requerida ]

Los prototipos de FPGA, por el contrario, utilizan FPGA directamente para permitir a los ingenieros validar y probar en, o cerca de, la frecuencia de operación completa de un sistema con estímulos del mundo real. Se utilizan herramientas como Certus [21] para insertar sondas en la FPGA RTL que hacen que las señales estén disponibles para la observación. Se utiliza para depurar interacciones de hardware, firmware y software en múltiples FPGA con capacidades similares a las de un analizador lógico.

En paralelo, los elementos de hardware se agrupan y pasan por un proceso de síntesis lógica , durante el cual se aplican restricciones de rendimiento, como la frecuencia operativa y los retardos de señal esperados. Esto genera una salida conocida como netlist que describe el diseño como un circuito físico y sus interconexiones. Estas listas de red se combinan con la lógica de pegamento que conecta los componentes para producir la descripción esquemática del SoC como un circuito que se puede imprimir en un chip. Este proceso se conoce como lugar y ruta y precede a la eliminación en cinta en caso de que los SoC se produzcan como circuitos integrados específicos de la aplicación (ASIC).

Objetivos de optimización [ editar ]

SoC deben optimizar el uso de energía , zona en la matriz , la comunicación, Posicionamiento de localidad entre las unidades modulares y de otros factores. La optimización es necesariamente un objetivo de diseño de los SoC. Si la optimización no fuera necesaria, los ingenieros utilizarían una arquitectura de módulo de varios chips sin tener en cuenta la utilización del área, el consumo de energía o el rendimiento del sistema en la misma medida.

A continuación, se muestran los objetivos de optimización comunes para los diseños de SoC, con explicaciones de cada uno. En general, optimizar cualquiera de estas cantidades puede ser un problema de optimización combinatoria difícil y, de hecho, puede ser NP-difícil con bastante facilidad. Por lo tanto, a menudo se requieren algoritmos de optimización sofisticados y puede ser práctico utilizar algoritmos de aproximación o heurísticas en algunos casos. Además, la mayoría de los diseños de SoC contienen múltiples variables para optimizar simultáneamente , por lo que Pareto eficientese buscan soluciones en el diseño de SoC. A menudo, los objetivos de optimizar algunas de estas cantidades están directamente en desacuerdo, lo que agrega aún más complejidad a la optimización del diseño de los SoC e introduce compensaciones en el diseño del sistema.

Para obtener una cobertura más amplia de las compensaciones y el análisis de requisitos , consulte Ingeniería de requisitos .

Objetivos [ editar ]

Consumo de energía [ editar ]

Los SoC están optimizados para minimizar la energía eléctrica utilizada para realizar las funciones del SoC. La mayoría de los SoC deben consumir poca energía. Los sistemas SoC a menudo requieren una batería de larga duración (como los teléfonos inteligentes ), pueden pasar meses o años sin que una fuente de energía necesite mantener una función autónoma y, a menudo, tienen un uso de energía limitado debido a una gran cantidad de SoC integrados conectados en red en un área. Además, los costos de energía pueden ser altos y la conservación de energía reducirá el costo total de propiedad del SoC. Finalmente, desperdiciar calorEl alto consumo de energía puede dañar otros componentes del circuito si se disipa demasiado calor, dando otra razón pragmática para conservar energía. La cantidad de energía utilizada en un circuito es la integral de la energía consumida con respecto al tiempo, y la tasa promedio de consumo de energía es el producto de la corriente por el voltaje . De manera equivalente, según la ley de Ohm , la potencia es la corriente al cuadrado por la resistencia o el voltaje al cuadrado dividido por la resistencia :

Los SoC suelen estar integrados en dispositivos portátiles como teléfonos inteligentes , dispositivos de navegación GPS , relojes digitales (incluidos los relojes inteligentes ) y netbooks . Los clientes quieren una batería de larga duración para los dispositivos informáticos móviles, otra razón por la que el consumo de energía debe minimizarse en los SoC. Las aplicaciones multimedia a menudo se ejecutan en estos dispositivos, incluidos videojuegos , transmisión de video , procesamiento de imágenes ; todo lo cual ha aumentado en complejidad computacional en los últimos años con las demandas y expectativas de los usuarios de mayor calidadmultimedia. La computación es más exigente a medida que las expectativas se mueven hacia el video 3D de alta resolución con múltiples estándares , por lo que los SoC que realizan tareas multimedia deben ser una plataforma con capacidad computacional y, al mismo tiempo, tener poca energía para funcionar con una batería móvil estándar. [13] : 3

Rendimiento por vatio [ editar ]

Los SoC están optimizados para maximizar la eficiencia energética en rendimiento por vatio: maximice el rendimiento del SoC dado un presupuesto de uso de energía. Muchas aplicaciones, como la informática de borde , el procesamiento distribuido y la inteligencia ambiental, requieren un cierto nivel de rendimiento computacional , pero la potencia es limitada en la mayoría de los entornos de SoC. La arquitectura ARM tiene un mayor rendimiento por vatio que x86 en sistemas integrados, por lo que se prefiere sobre x86 para la mayoría de las aplicaciones SoC que requieren un procesador integrado .

Calor residual [ editar ]

Los diseños de SoC están optimizados para minimizar la salida de calor residual en el chip. Al igual que con otros circuitos integrados , el calor generado debido a la alta densidad de potencia es el cuello de botella para una mayor miniaturización de los componentes. [22] : 1 Las densidades de potencia de los circuitos integrados de alta velocidad, en particular los microprocesadores y los SoC incluidos, se han vuelto muy desiguales. Demasiado calor residual puede dañar los circuitos y erosionar la confiabilidad del circuito con el tiempo. Las altas temperaturas y el estrés térmico impactan negativamente la confiabilidad, la migración del estrés , la disminución del tiempo medio entre fallas ,electromigración , unión de cables , metaestabilidad y otras degradaciones del rendimiento del SoC a lo largo del tiempo. [22] : 2–9

En particular, la mayoría de los SoC se encuentran en un área o volumen físico pequeños y, por lo tanto, los efectos del calor residual se agravan porque hay poco espacio para que se difunda fuera del sistema. Debido a los altos recuentos de transistores en los dispositivos modernos debido a la ley de Moore , a menudo un diseño de rendimiento suficiente y alta densidad de transistores es físicamente realizable a partir de los procesos de fabricación, pero daría como resultado cantidades inaceptablemente altas de calor en el volumen del circuito. [22] : 1

Estos efectos térmicos obligan a SoC y a otros diseñadores de chips a aplicar márgenes de diseño conservadores , creando dispositivos de menor rendimiento para mitigar el riesgo de fallas catastróficas . Debido al aumento de las densidades de transistores a medida que las escalas de longitud se hacen más pequeñas, cada generación de proceso produce más calor que la anterior. Para agravar este problema, las arquitecturas SoC suelen ser heterogéneas, creando flujos de calor espacialmente no homogéneos , que no pueden mitigarse eficazmente mediante un enfriamiento pasivo uniforme . [22] : 1

Rendimiento [ editar ]

Los SoC están optimizados para maximizar el rendimiento informático y de comunicaciones .

Latencia [ editar ]

Los SoC están optimizados para minimizar la latencia de algunas o todas sus funciones. Esto se puede lograr por trazar elementos con proximidad adecuada y localidad a cada-otra para reducir al mínimo los retrasos de interconexión y maximizar la velocidad a la que se comunica los datos entre los módulos, unidades funcionales y recuerdos. En general, optimizar para minimizar la latencia es un problema NP-completo equivalente al problema de satisfacibilidad booleano .

Para tareas que se ejecutan en núcleos de procesador, la latencia y el rendimiento se pueden mejorar con la programación de tareas . Sin embargo, algunas tareas se ejecutan en unidades de hardware específicas de la aplicación, e incluso la programación de tareas puede no ser suficiente para optimizar todas las tareas basadas en software para cumplir con las limitaciones de tiempo y rendimiento.

Metodologías [ editar ]

Los sistemas en chip se modelan con técnicas estándar de verificación y validación de hardware , pero se utilizan técnicas adicionales para modelar y optimizar las alternativas de diseño de SoC para hacer que el sistema sea óptimo con respecto al análisis de decisiones de múltiples criterios en los objetivos de optimización anteriores.

Programación de tareas [ editar ]

La programación de tareas es una actividad importante en cualquier sistema informático con múltiples procesos o subprocesos que comparten un solo núcleo de procesador. Es importante reducir la latencia y aumentar el rendimiento del software integrado que se ejecuta en los núcleos de procesador de un SoC . No todas las actividades informáticas importantes en un SoC se realizan en software que se ejecuta en procesadores en chip, pero la programación puede mejorar drásticamente el rendimiento de las tareas basadas en software y otras tareas que involucran recursos compartidos .

Los SoC a menudo programan tareas de acuerdo con la programación de la red y los algoritmos de programación aleatoria .

Canalización [ editar ]

Las tareas de hardware y software a menudo se integran en el diseño del procesador . Pipelining es un principio importante para el aumento de velocidad en la arquitectura de computadores . Se utilizan con frecuencia en GPU ( canalización de gráficos ) y procesadores RISC (evoluciones de la canalización RISC clásica ), pero también se aplican a tareas específicas de la aplicación, como el procesamiento de señales digitales y las manipulaciones multimedia en el contexto de los SoC. [13]

Modelado probabilístico [ editar ]

Los SoC se analizan a menudo mediante modelos probabilísticos , teoría de las colas § Redes de colas y cadenas de Markov . Por ejemplo, la ley de Little permite que los estados de SoC y los búferes de NoC se modelen como procesos de llegada y se analicen mediante variables aleatorias de Poisson y procesos de Poisson .

Cadenas de Markov [ editar ]

Los SoC a menudo se modelan con cadenas de Markov , tanto en tiempo discreto como en variantes de tiempo continuo . El modelado de la cadena de Markov permite el análisis asintótico de la distribución de potencia, calor, latencia y otros factores en estado estable del SoC para permitir que las decisiones de diseño se optimicen para el caso común.

Fabricación [ editar ]

Los chips SoC se fabrican normalmente con tecnología de semiconductores de óxido de metal (MOS). [23] Las listas de redes descritas anteriormente se utilizan como base para el flujo de diseño físico ( lugar y ruta ) para convertir la intención de los diseñadores en el diseño del SoC. A lo largo de este proceso de conversión, el diseño se analiza con modelado de tiempo estático, simulación y otras herramientas para garantizar que cumpla con los parámetros operativos especificados, como frecuencia, consumo y disipación de energía, integridad funcional (como se describe en el código de nivel de transferencia de registro) y electricidad. integridad.

Cuando se han rectificado todos los errores conocidos y se han vuelto a verificar y se han realizado todas las comprobaciones de diseño físico, los archivos de diseño físico que describen cada capa del chip se envían al taller de máscaras de la fundición, donde se grabará un juego completo de máscaras litográficas de vidrio. . Estos se envían a una planta de fabricación de obleas para crear los dados SoC antes de empacarlos y probarlos.

Los SoC pueden fabricarse mediante varias tecnologías, que incluyen:

  • ASIC personalizado completo
  • ASIC de celda estándar
  • Matriz de puertas programables en campo (FPGA)

Los ASIC consumen menos energía y son más rápidos que los FPGA, pero no se pueden reprogramar y son costosos de fabricar. Los diseños de FPGA son más adecuados para diseños de menor volumen, pero después de suficientes unidades de producción, los ASIC reducen el costo total de propiedad. [24]

Los diseños de SoC consumen menos energía y tienen un costo más bajo y una confiabilidad más alta que los sistemas de múltiples chips que reemplazan. Con menos paquetes en el sistema, los costos de ensamblaje también se reducen.

Sin embargo, como la mayoría integración a muy gran escala (VLSI) diseña, el coste total [ aclaración necesaria ] es mayor para un chip grande que para la misma funcionalidad distribuida sobre varios chips más pequeños, debido a rendimientos más bajos [ aclaración necesarios ] y superior no -costos recurrentes de ingeniería .

Cuando no es factible construir un SoC para una aplicación particular, una alternativa es un sistema en paquete (SiP) que comprende varios chips en un solo paquete . Cuando se produce en grandes volúmenes, SoC es más rentable que SiP porque su empaque es más simple. [25] Otra razón por la que se puede preferir el SiP es que el calor residual puede ser demasiado alto en un SoC para un propósito dado porque los componentes funcionales están demasiado juntos, y en un SiP el calor se disipará mejor de los diferentes módulos funcionales ya que están físicamente más separados.

Puntos de referencia [ editar ]

La investigación y el desarrollo de SoC a menudo compara muchas opciones. Los puntos de referencia, como COSMIC, [26] se desarrollan para ayudar a tales evaluaciones.

Ver también [ editar ]

  • Lista de proveedores de system-on-a-chip
  • Validación posterior al silicio
  • Arquitectura ARM
  • Computadora de placa única
  • Sistema en paquete
  • Red en un chip
  • SoC programable
  • Procesador de conjunto de instrucciones específico de la aplicación (ASIP)
  • Diseño basado en plataforma
  • Laboratorio en un chip
  • Órgano en un chip en tecnología biomédica
  • Módulo multichip
  • Lista de procesadores Qualcomm Snapdragon - Qualcomm
  • Exynos - Samsung

Notas [ editar ]

  1. ^ Este artículo utiliza la convención de que SoC es pronunciada / ˌ del ɛ s ˌ oʊ s i / es-OH- VER . Por tanto, utiliza la convención "an" para el artículo indefinido correspondiente a SoC (" an SoC"). Otras fuentes pueden pronunciarlo como / s ɒ k / sock y, por lo tanto, usan " a SoC".
  2. ^ Esta placa central se denomina "placa madre" para alojar las tarjetas de componentes "secundarios".
  3. ^ Las conexiones gráficas ( PCI Express ) y la RAM constituyeron históricamente el puente norte de las arquitecturas discretas respaldadas por la placa base.
  4. ^ El disco duro y la conectividad USB históricamente formaron parte del puente sur de las arquitecturas modulares discretas respaldadas por la placa base.
  5. ^ En los sistemas integrados , los "protectores" son análogos a las tarjetas de expansión para PC . A menudo caben sobre un microcontrolador como un Arduino o una computadora de placa única como la Raspberry Pi y funcionan como periféricos para el dispositivo.

Referencias [ editar ]

  1. ^ Shah, Agam (3 de enero de 2017). "7 deslumbrantes mejoras para teléfonos inteligentes con el chip Snapdragon 835 de Qualcomm" . Mundo de la red .
  2. ^ https://arstechnica.com/gadgets/2020/02/qualcomms-snapdragon-x60-promises-smaller-5g-modems-in-2021/?amp=1
  3. ^ {Cite journal | last = Mittal | first = Sparsh | title = Una encuesta sobre la implementación optimizada de modelos de aprendizaje profundo en la plataforma NVIDIA Jetson | url = https://www.academia.edu/38201537%7Cjournal=Journal of Systems Architecture | idioma = en | issn = 1383-7621}}
  4. ^ Pete Bennett, EE Times . " El por qué, dónde y qué del diseño de SoC de bajo consumo ". 2 de diciembre de 2004. Consultado el 28 de julio de 2015.
  5. ^ Nolan, Stephen M. "Gestión de energía para el desarrollo del sistema de Internet de las cosas (IoT) en un chip (SoC)" . Diseño y reutilización . Consultado el 25 de septiembre de 2018 .
  6. ^ "¿Es un procesador SOC de un solo chip adecuado para su proyecto integrado?" . Embebido . Consultado el 13 de octubre de 2018 .
  7. ^ "Qualcomm lanza SoC para visión integrada | Imaging and Machine Vision Europe" . www.imveurope.com . Consultado el 13 de octubre de 2018 .
  8. ^ "Desmontaje de Samsung Galaxy S10 y S10e" . iFixit . 6 de marzo de 2019.
  9. ^ a b "ARM va tras Intel con una nueva hoja de ruta de chips hasta 2020" . Windows Central . Consultado el 6 de octubre de 2018 .
  10. ^ a b "PC siempre conectadas, laptops 4G LTE de duración extendida de la batería | Windows" . www.microsoft.com . Consultado el 6 de octubre de 2018 .
  11. ^ "Módems celulares Gigabit Class LTE, 4G LTE y 5G | Qualcomm" . Qualcomm . Consultado el 13 de octubre de 2018 .
  12. ↑ a b c Furber, Stephen B. (2000). Arquitectura de sistema en chip ARM . Harlow, Inglaterra: Addison-Wesley. ISBN 0201675196. OCLC  44267964 .
  13. ↑ a b c d e Haris Javaid, Sri Parameswaran (2014). Sistema en chip multiprocesador canalizado para multimedia . Springer . ISBN 9783319011134. OCLC  869378184 .Mantenimiento de CS1: utiliza el parámetro de autores ( enlace )
  14. ^ a b c d e f g h Kundu, Santanu; Chattopadhyay, Santanu (2014). Red en chip: la próxima generación de integración de sistema en chip (1ª ed.). Boca Raton, FL: CRC Press. ISBN 9781466565272. OCLC  895661009 .
  15. ^ "Mejores prácticas para la creación de prototipos FPGA de algoritmos MATLAB y Simulink" . EEJournal . 2011-08-25 . Consultado el 8 de octubre de 2018 .
  16. Bowyer, Bryan (5 de febrero de 2005). "El 'por qué' y el 'qué' de la síntesis algorítmica" . EE Times . Consultado el 8 de octubre de 2018 .
  17. ^ EE Times . " ¿Es la verificación realmente el 70 por ciento? ". 14 de junio de 2004. Consultado el 28 de julio de 2015.
  18. ^ "Diferencia entre verificación y validación" . Clase de pruebas de software . Consultado el 30 de abril de 2018 . En las entrevistas, la mayoría de los entrevistadores hacen preguntas sobre "¿Cuál es la diferencia entre verificación y validación?" Muchas personas usan la verificación y la validación indistintamente, pero ambas tienen significados diferentes.
  19. Rittman, Danny (5 de enero de 2006). "Creación de prototipos de nanómetros" (PDF) . Tayden Design . Consultado el 7 de octubre de 2018 .
  20. ^ "Prototipos de FPGA para producción ASIC estructurada para reducir costos, riesgos y TTM" . Diseño y reutilización . Consultado el 7 de octubre de 2018 .
  21. ^ Brian Bailey, EE Times. " Tektronix espera revolucionar la creación de prototipos ASIC ". 30 de octubre de 2012. Consultado el 28 de julio de 2015.
  22. ↑ a b c d Ogrenci-Memik, Seda (2015). Gestión del calor en circuitos integrados: monitorización y refrigeración en chip y a nivel del sistema . Londres, Reino Unido: Institución de Ingeniería y Tecnología. ISBN 9781849199353. OCLC  934678500 .
  23. ^ Lin, Youn-Long Steve (2007). Aspectos esenciales en el diseño de SOC: diseño de sistemas complejos en chip . Springer Science & Business Media . pag. 176. ISBN 9781402053528.
  24. ^ "FPGA vs ASIC: diferencias entre ellos y cuál usar? - Centro de ayuda de Numato Lab" . numato.com . Consultado el 17 de octubre de 2018 .
  25. ^ EE Times . " El gran debate: SOC vs SIP ". 21 de marzo de 2005. Consultado el 28 de julio de 2015.
  26. ^ "COSMIC" . www.ece.ust.hk . Consultado el 8 de octubre de 2018 .

Lectura adicional [ editar ]

  • Badawy, Wael; Jullien, Graham A., eds. (2003). System-on-Chip para aplicaciones en tiempo real . Serie internacional de Kluwer en ingeniería e informática, SECS 711. Boston: Kluwer Academic Publishers . ISBN 9781402072543. OCLC  50478525 . 465 páginas.
  • Furber, Stephen B. (2000). Arquitectura de sistema en chip ARM . Boston: Addison-Wesley. ISBN 0-201-67519-6.
  • Kundu, Santanu; Chattopadhyay, Santanu (2014). Red en chip: la próxima generación de integración de sistema en chip (1ª ed.). Boca Raton, FL: CRC Press. ISBN 9781466565272. OCLC  895661009 .

Enlaces externos [ editar ]

  • SOCC Annual IEEE International SoC Conference
  • Herramienta de integración IP y ensamblaje de plataforma SoC gratuita de Baya
  • Sistemas en chip para aplicaciones integradas , seminario de la Universidad de Auburn en VLSI
  • SoC instantáneo SoC para FPGA definidos por C ++