Bulldozer (microarquitectura)


De Wikipedia, la enciclopedia libre
  (Redirigido desde Bulldozer (procesador) )
Saltar a navegación Saltar a búsqueda

El 15h AMD Bulldozer Familia es un microprocesador microarquitectura para el FX y Opteron línea de procesadores, desarrollado por AMD para el mercado de escritorio y servidor. [1] [2] Bulldozer es el nombre en clave de esta familia de microarquitecturas. Fue lanzado el 12 de octubre de 2011 como sucesor de la microarquitectura K10 .

Bulldozer está diseñado desde cero, no es un desarrollo de procesadores anteriores. [3] El núcleo está dirigido específicamente a productos informáticos con TDP de 10 a 125  vatios . AMD afirma mejoras dramáticas en la eficiencia del rendimiento por vatio en aplicaciones de computación de alto rendimiento (HPC) con núcleos Bulldozer.

Los núcleos Bulldozer admiten la mayoría de los conjuntos de instrucciones implementados por los procesadores Intel ( Sandy Bridge ) disponibles en su introducción (incluidos SSE4.1 , SSE4.2 , AES , CLMUL y AVX ), así como los nuevos conjuntos de instrucciones propuestos por AMD; ABM , XOP , FMA4 y F16C . [4] [5] Solo Bulldozer GEN4 ( Excavator ) admite conjuntos de instrucciones AVX2 .

Visión general

Según AMD, las CPU basadas en Bulldozer se basan en la tecnología de proceso Silicon on Insulator (SOI) de 32 nm de GlobalFoundries y reutilizan el enfoque de DEC para el rendimiento de la computadora multitarea con los argumentos de que, según las notas de prensa, "equilibra la computadora dedicada y compartida recursos para proporcionar un diseño altamente compacto y de gran cantidad de unidades que se replica fácilmente en un chip para escalar el rendimiento ". [6] En otras palabras, al eliminar algunos de los elementos "redundantes" que se introducen naturalmente en los diseños multinúcleo, AMD ha esperado aprovechar mejor sus capacidades de hardware, utilizando menos energía.

Las implementaciones basadas en bulldozer construidas en SOI de 32 nm con HKMG llegaron en octubre de 2011 tanto para servidores como para computadoras de escritorio. El segmento de servidores incluía el procesador Opteron de doble chip (16 núcleos) con nombre en código Interlagos (para Socket G34 ) y de un solo chip (4, 6 u 8 núcleos) Valencia (para Socket C32 ), mientras que el Zambezi (4, 6 y 8 núcleos) escritorios específicos en Socket AM3 + . [7] [8]

Bulldozer es el primer rediseño importante de la arquitectura del procesador de AMD desde 2003, cuando la empresa lanzó sus procesadores K8, y también cuenta con dos FPU de 128 bits con capacidad FMA que se pueden combinar en una FPU de 256 bits. Este diseño está acompañado por dos grupos de enteros, cada uno con 4 canalizaciones (la etapa de recuperación / decodificación es compartida). Bulldozer también introdujo la caché L2 compartida en la nueva arquitectura. AMD llama a este diseño un "Módulo". Un diseño de procesador de 16 núcleos incluiría ocho de estos "módulos", [9] pero el sistema operativo reconocerá cada "módulo" como dos núcleos lógicos.

La arquitectura modular consta de caché L2 compartida multiproceso y FlexFPU, que utiliza multiproceso simultáneo . Cada núcleo entero físico, dos por módulo, es de un solo subproceso, en contraste con el Hyperthreading de Intel , donde dos subprocesos virtuales simultáneos comparten los recursos de un solo núcleo físico. [10] [11]

Arquitectura

Núcleo de la excavadora

Diagrama de bloques de un módulo Bulldozer completo, que muestra 2 grupos de enteros
Diagrama de bloques de un diseño de 4 módulos con 8 grupos de enteros
Topología de memoria de un servidor Bulldozer
Troquel de bulldozer con partes resaltadas

Bulldozer hizo uso de "Clustered Multithreading" (CMT), una técnica en la que algunas partes del procesador se comparten entre dos subprocesos y algunas partes son únicas para cada subproceso. Los ejemplos anteriores de un enfoque de este tipo para el subproceso múltiple no convencional se remontan al UltraSPARC T1 de Sun Microsystems de 2005.UPC. En términos de complejidad y funcionalidad del hardware, un módulo Bulldozer CMT es igual a un procesador de doble núcleo en sus capacidades de cálculo de números enteros, y a un procesador de un solo núcleo o un doble núcleo para discapacitados en términos de potencia computacional de punto flotante, dependiendo sobre si el código está saturado en instrucciones de punto flotante en ambos subprocesos que se ejecutan en el mismo módulo CMT, y si la FPU está realizando operaciones de punto flotante de 128 o 256 bits. La razón de esto es que por cada dos núcleos enteros, es decir, dentro del mismo módulo, hay una única unidad de coma flotante que consta de un par de unidades de ejecución FMAC de 128 bits .

CMT es de alguna manera una filosofía de diseño más simple pero similar a SMT; ambos diseños intentan utilizar las unidades de ejecución de manera eficiente; en cualquier método, cuando dos subprocesos compiten por algunas canalizaciones de ejecución, hay una pérdida de rendimiento en uno o más de los subprocesos. Debido a los núcleos enteros dedicados, los módulos de la familia Bulldozer funcionaron aproximadamente como un procesador de doble núcleo y doble subproceso durante secciones de código que eran enteramente enteras o una combinación de cálculos de enteros y de punto flotante; sin embargo, debido al uso SMT de las canalizaciones de punto flotante compartidas, el módulo funcionaría de manera similar a un procesador SMT de un solo núcleo y doble subproceso (SMT2) para un par de subprocesos saturados con instrucciones de punto flotante. (Estas dos últimas comparaciones suponen que el procesador posee un núcleo de ejecución igualmente amplio y capaz, en cuanto a números enteros y en coma flotante, respectivamente).

Tanto CMT como SMT tienen la máxima eficacia al ejecutar código entero y de punto flotante en un par de subprocesos. CMT se mantiene en su máxima eficacia mientras trabaja en un par de subprocesos que constan de código entero, mientras que en SMT, uno o ambos subprocesos tendrán un rendimiento inferior debido a la competencia por las unidades de ejecución de enteros. La desventaja de CMT es un mayor número de unidades de ejecución de enteros inactivas en un caso de un solo subproceso. En el caso de un solo subproceso, CMT está limitado a utilizar como máximo la mitad de las unidades de ejecución de enteros en su módulo, mientras que SMT no impone tal límite. Un gran núcleo SMT con circuitos enteros tan ancho y rápido como dos núcleos CMT podría, en teoría, tener momentáneamente hasta el doble de un rendimiento entero en un caso de un solo hilo. (De manera más realista para el código general en su conjunto, la regla de Pollackestima un factor de aceleración de aproximadamente un 40% de aumento en el rendimiento).

Los procesadores CMT y un procesador SMT típico son similares en su uso compartido eficiente de la caché L2 entre un par de subprocesos.

  • Un módulo consiste en un acoplamiento de dos núcleos de procesamiento fuera de servicio x86 "convencionales". El núcleo de procesamiento comparte las primeras etapas de la canalización (por ejemplo , L1i , recuperación, decodificación), las FPU y la caché L2 con el resto del módulo.
    • Cada módulo tiene los siguientes recursos de hardware independientes: [12] [13]
    • 16 KB de 4 vías de L1d (vía predicha) por núcleo y 64 KB de 2 vías de L1i por módulo, una vía para cada uno de los dos núcleos [14] [15] [16]
    • 2 MB de caché L2 por módulo (compartido entre los dos núcleos enteros)
    • Write Coalescing Cache [17] es una caché especial que forma parte de la caché L2 en la microarquitectura Bulldozer. Los almacenes de ambos cachés L1D en el módulo pasan por el WCC, donde se almacenan en búfer y se fusionan. La tarea del WCC es reducir el número de escrituras en la caché L2.
    • Dos núcleos enteros dedicados
      • - cada uno incluye dos ALU y dos AGU que son capaces de un total de cuatro operaciones aritméticas y de memoria independientes por reloj y por núcleo
      • - la duplicación de programadores de enteros y canalizaciones de ejecución ofrece hardware dedicado a cada uno de los dos subprocesos que duplican el rendimiento para cargas de enteros de subprocesos múltiples
      • - el segundo núcleo entero en el módulo aumenta la matriz del módulo Bulldozer en aproximadamente un 12%, que a nivel de chip agrega aproximadamente un 5% del espacio total de la matriz [18]
    • Dos canalizaciones de coma flotante FMAC simétricas de 128 bits (capacidad fusionada de multiplicación y adición ) por módulo que se pueden unificar en una unidad grande de 256 bits de ancho si uno de los núcleos enteros envía una instrucción AVX y dos simétricos con capacidad x87 / MMX / SSE FPP para compatibilidad con versiones anteriores de software no optimizado SSE2. Cada unidad FMAC también puede realizar operaciones de división y raíz cuadrada con latencia variable.
  • Todos los módulos presentes comparten la caché L3, así como un subsistema de memoria de doble canal avanzado (IMC - Integrated Memory Controller).
  • Un módulo tiene 213 millones de transistores en un área de 30,9 mm² (incluida la caché L2 compartida de 2 MB) en una matriz Orochi. [19]
  • La profundidad de la tubería de Bulldozer (así como Piledriver y Steamroller) es de 20 ciclos, en comparación con los 12 ciclos del predecesor del núcleo K10. [20]

La tubería más larga permitió a la familia de procesadores Bulldozer lograr una frecuencia de reloj mucho más alta en comparación con sus predecesores K10. Si bien esto aumentó las frecuencias y el rendimiento, la tubería más larga también aumentó las latencias y aumentó las penalizaciones por predicción errónea de rama .

  • El ancho del núcleo entero de la Bulldozer, cuatro (2 ALU, 2 AGU), es algo menor que el ancho del núcleo K10, seis (3 ALU, 3 AGU). Bobcat y Jaguar también utilizaron un núcleo entero de cuatro anchos, pero con unidades de ejecución más ligeras: 1 ALU, 1 ALU simple, 1 carga AGU, 1 tienda AGU. [21]

Los anchos de emisión (y ejecuciones máximas de instrucciones por ciclo) de un núcleo Jaguar, K10 y Bulldozer son 2, 3 y 4 respectivamente. Esto convirtió a la Bulldozer en un diseño más superescalar en comparación con Jaguar / Bobcat. Sin embargo, debido al núcleo algo más ancho de K10 (además de la falta de refinamientos y optimizaciones en un diseño de primera generación), la arquitectura Bulldozer generalmente se desempeñaba con un IPC algo más bajo en comparación con sus predecesores K10. No fue hasta los refinamientos realizados en Piledriver y Steamroller, que el IPC de la familia Bulldozer claramente comenzó a superar al de los procesadores K10 como Phenom II.

Predictor de rama

  • Búfer de destino de bifurcación (BTB) [22]
  • Predictor híbrido para condicionales
  • Predictor indirecto

Extensiones de conjuntos de instrucciones

  • Compatibilidad con el conjunto de instrucciones Advanced Vector Extensions ( AVX ) de Intel , que admite operaciones de punto flotante de 256 bits y SSE4.1 , SSE4.2 , AES , CLMUL , así como futuros conjuntos de instrucciones de 128 bits propuestos por AMD ( XOP , FMA4 , y F16C ), [23] que tienen la misma funcionalidad que el conjunto de instrucciones SSE5 propuesto anteriormente por AMD, pero con compatibilidad con el esquema de codificación AVX .
  • Bulldozer GEN4 ( excavadora ) admite conjuntos de instrucciones AVX2 .

Tecnología de proceso y frecuencia de reloj

  • Capa de proceso 11 de metal 32 nm SOI con implementados de primera generación GlobalFoundries 's de alta K Metal Gate (HKMG)
  • Aumento del rendimiento de Turbo Core 2 para aumentar la frecuencia de reloj hasta 500 MHz con todos los subprocesos activos (para la mayoría de las cargas de trabajo) y hasta 1 GHz con la mitad del subproceso activo, dentro del límite de TDP. [24]
  • El chip funciona a 0,775 a 1,425 V, alcanzando frecuencias de reloj de 3,6 GHz o más [19]
  • TDP mínimo-máximo: 25 - 140 vatios

Interfaz de caché y memoria

  • Hasta 8 MB de L3 compartidos entre todos los núcleos en la misma matriz de silicio (8 MB para 4 núcleos en el segmento de escritorio y 16 MB para 8 núcleos en el segmento de servidor), divididos en cuatro subcachés de 2 MB cada uno, capaces de operar a 2.2 GHz a 1,1125 V [19]
  • Soporte de memoria nativa DDR3 hasta DDR3-1866 [25]
  • Controlador de memoria integrado Dual Channel DDR3 para escritorio y servidor / estación de trabajo Opteron 42xx "Valencia"; [26] Controlador de memoria integrado DDR3 de cuatro canales [27] para servidor / estación de trabajo Opteron 62xx "Interlagos"
  • AMD afirma ser compatible con dos DIMM de DDR3-1600 por canal. Dos DIMM de DDR3-1866 en un solo canal se reducirán a 1600.

Interfaz de E / S y socket

  • Tecnología HyperTransport rev. 3.1 ( 3,20 GHz, 6,4 GT / s, 25,6 GB / sy enlace ancho de 16 bits ) [implementado por primera vez en la revisión HY-D1 "Magny-Cours" en la plataforma de socket G34 Opteron en marzo de 2010 y "Lisbon" en el socket Plataforma C32 Opteron en junio de 2010]
  • Zócalo AM3 + ( AM3r2 )
    • 942 pines, solo compatible con DDR3
    • Conservará la compatibilidad con versiones anteriores de las placas base Socket AM3 (según la elección del fabricante de la placa base y si se proporcionan actualizaciones de BIOS [28] [29] ), sin embargo, esto no es compatible oficialmente con AMD; Las placas base AM3 + serán compatibles con los procesadores AM3. [30]
  • Para el segmento de servidor, se utilizarán el zócalo G34 (LGA1974) y el zócalo C32 (LGA1207) existentes.

Características

Tabla de características de la CPU

Procesadores

Chipset y E / S para la primera generación de CMT
Procesador AMD FX 8350
Procesador AMD Opteron 6282

Los primeros envíos de ingresos de procesadores Opteron basados ​​en Bulldozer se anunciaron el 7 de septiembre de 2011. [31] Los modelos FX-4100, FX-6100, FX-8120 y FX-8150 se lanzaron en octubre de 2011; con los procesadores AMD restantes de la serie FX lanzados a finales del primer trimestre de 2012.

Escritorio

Fuentes principales: CPU-World [32] y Xbit-Labs [33]

Servidor

Hay dos series de procesadores para servidores basados ​​en Bulldozer : la serie Opteron 4200 ( Socket C32 , nombre en código Valencia, con hasta cuatro módulos) y la serie Opteron 6200 ( Socket G34 , nombre en código Interlagos, con hasta 8 módulos). [34] [35]

Demanda por publicidad falsa

En noviembre de 2015, AMD fue demandada en virtud de la Ley de recursos legales para consumidores de California y la Ley de competencia desleal por supuestamente tergiversar las especificaciones de los chips Bulldozer. La demanda colectiva, presentada el 26 de octubre en el Tribunal de Distrito de EE. UU. Para el Distrito Norte de California, afirma que cada módulo Bulldozer es, de hecho, un solo núcleo de CPU con algunas características de doble núcleo, en lugar de un verdadero diseño de doble núcleo. . [36] En agosto de 2019, AMD acordó resolver la demanda por 12,1 millones de dólares. [37] [38]

Rendimiento

Rendimiento en Linux

El 24 de octubre de 2011, las pruebas de primera generación realizadas por Phoronix confirmaron que el rendimiento de la CPU Bulldozer fue algo menor de lo esperado. [39] En muchas pruebas, la CPU se ha desempeñado al mismo nivel que la generación anterior del Phenom 1060T.

Posteriormente, el rendimiento aumentó sustancialmente, ya que se lanzaron varias optimizaciones del compilador y correcciones del controlador de la CPU. [40] [41]

Rendimiento en Windows

Las primeras CPU Bulldozer tuvieron una respuesta mixta. Se descubrió que el FX-8150 tuvo un desempeño deficiente en los puntos de referencia que no tenían muchos subprocesos, quedando atrás de los procesadores de la serie Intel Core i * de segunda generación y siendo igualado o incluso superado por el propio Phenom II X6 de AMD a velocidades de reloj más bajas. En los puntos de referencia con muchos subprocesos, el FX-8150 se desempeñó a la par con el Phenom II X6 y el Intel Core i7 2600K , según el punto de referencia. Dado el rendimiento general más consistente del Intel Core i5 2500K a un precio más bajo, estos resultados dejaron a muchos revisores decepcionados. Se descubrió que el procesador consumía mucha energía bajo carga, especialmente cuando estaba overclockeado, en comparación con el Sandy Bridge de Intel . [42][43]

El 13 de octubre de 2011, AMD declaró en su blog que "hay algunos en nuestra comunidad que sienten que el rendimiento del producto no cumplió con sus expectativas", pero mostró puntos de referencia en aplicaciones reales en las que superó a Sandy Bridge i7 2600k y AMD X6 1100T. [44]

En enero de 2012, Microsoft lanzó dos revisiones para Windows 7 y Server 2008 R2 que mejoran marginalmente el rendimiento de las CPU Bulldozer al abordar las preocupaciones de programación de subprocesos que surgieron después del lanzamiento de Bulldozer. [45] [46] [47]

El 6 de marzo de 2012, AMD publicó un artículo de la base de conocimientos que indicaba que había un problema de compatibilidad con los procesadores FX y ciertos juegos en la plataforma de distribución de juegos digitales ampliamente utilizada, Steam . AMD declaró que habían proporcionado una actualización de BIOS a varios fabricantes de placas base (a saber: Asus , Gigabyte Technology , MSI y ASRock ) que solucionaría el problema. [48]

En septiembre de 2014, el director ejecutivo de AMD, Rory Read, admitió que el diseño de la Bulldozer no había sido una "parte que cambia el juego" y que AMD tuvo que vivir con el diseño durante cuatro años. [49]

Overclocking

El 31 de agosto de 2011, AMD y un grupo de overclockers conocidos, incluidos Brian McLachlan, Sami Mäkinen, Aaron Schradin y Simon Solotko, lograron establecer un nuevo récord mundial de frecuencia de CPU utilizando el procesador Bulldozer FX-8150 inédito y overclockeado. Antes de ese día, el récord estaba en 8.309 GHz, pero el Bulldozer combinado con enfriamiento de helio líquido alcanzó un nuevo máximo de 8.429 GHz. Desde entonces, Andre Yang superó el récord a 8.58 GHz usando nitrógeno líquido . [50] [51] El 22 de agosto de 2014 y usando un FX-8370 (Piledriver), The Stilt del Team Finland logró una frecuencia máxima de CPU de 8.722 GHz. [52]

Revisiones

Piledriver es el nombre en clave de AMD para su microarquitectura mejorada de segunda generación basada en Bulldozer . LosnúcleosAMD Piledriver se encuentran en lasseries de APU y CPU basadasen Socket FM2 Trinity y Richland y en laserieFX de CPU basadas enSocket AM3 + Vishera . Piledriver fue la última generación de la familia Bulldozer disponible para el zócalo AM3 + y con una caché L3. Los procesadores Piledriver disponibles para zócalos FM2 (y su variante móvil) no venían con una caché L3, ya que la caché L2 es la caché de último nivel para todos los procesadores FM2 / FM2 +.

Steamroller es el nombre en clave de AMD para su microarquitectura de tercera generación basada en una versión mejorada de Piledriver . Los núcleos Steamroller se encuentran en lasseries de APU y CPU basadasen Socket FM2 + Kaveri .

Excavator es el nombre en clave delnúcleo Bulldozer de cuarta generación. [53] La excavadora se implementó como APU de la serie A 'Carrizo', APU de la serie A "Bristol Ridge" y CPU Athlon x4. [54]

Ver también

  • Lista de microarquitecturas de CPU AMD
  • Lista de microprocesadores AMD FX
  • Charles R. Moore (ingeniero informático)
  • Alfa 21264
  • K10 (microarquitectura)
  • Bobcat (microarquitectura)
  • Opteron
  • Piledriver (microarquitectura)
  • Steamroller (microarquitectura)
  • Excavadora (microarquitectura)
  • Zen (microarquitectura)

Referencias

  1. ^ "Procesadores FX" . AMD . 24 de febrero de 2016 . Consultado el 24 de febrero de 2016 .
  2. ^ "AMD envía Opteron 6200 con bulldozer de 16 núcleos" . Engadget . 14 de noviembre de 2011 . Consultado el 24 de febrero de 2016 .
  3. ^ Niveladora 50% más rápido que Core i7 y Phenom II , techPowerUp , recuperada 2012-01-23
  4. ^ Manual del programador Arquitectura AMD64 Volumen 6: 128 bits y 256 bits XOP, y FMA4 instrucciones (PDF) , AMD , 1 de mayo, 2009 , recuperada 2009-05-08
  5. ^ Lograr un equilibrio , Dave Christie, AMD blogs de los desarrolladores, 7 de mayo de 2009, Archivado desde el original en 2012-04-05 , recuperado 2009-05-08
  6. ^ AMD establece una nueva marca en la innovación x86 con las primeras divulgaciones detalladas de dos nuevos diseños de núcleo , AMD , 24 de agosto de 2011, p. 1 , consultado el 18 de septiembre de 2011
  7. ^ Analyst Day 2009 Resumen , AMD 11 de noviembre de 2009 , recuperada 2009-11-14
  8. ^ AMD bestätigt: "Zambezi" ist inkompatibel zum Sockel AM3 , Planet3dnow.de , recuperada 2012-01-23
  9. ^ Analyst Day 2009 Presentaciones , AMD 11 de noviembre de 2009 , recuperados 2009-11-14
  10. ^ http://cdn3.wccftech.com/wp-content/uploads/2013/07/AMD-Steamroller-vs-Bulldozer.jpg
  11. ^ "AMD presenta Flex FP - bit-tech.net" . bit-tech.net .
  12. ^ Bloque de microarquitectura Bulldozer , AnandTech , 24 de agosto de 2010
  13. ^ Esquema funcional del módulo topadora , AMD , 24 de agosto de 2010
  14. ^ Más sobre niveladora , Tomshardware.com, 2010-08-24 , recuperada 2012-01-23
  15. ^ AMD revela detalles sobre la niveladora microprocesadores, AMD revela detalles sobre la niveladora microprocesadores , Xbitlabs.com , recuperado 2012-01-23
  16. ^ Tecnologías del mundo real (2010-08-26), Microarquitectura Bulldozer de AMD , Realworldtech.com , consultado el 23 de enero de 2012
  17. ^ David Kanter (26 de agosto de 2010). "Continuación del subsistema de memoria de microarquitectura Bulldozer de AMD" . Tecnologías del mundo real .
  18. ^ Eficiencia energética del diseño de la excavadora , AMD , 24 de agosto de 2010
  19. ^ a b c AP (PDF) , consultado el 23 de enero de 2012
  20. Johan De Gelas, The Bulldozer Aftermath: Profundizando aún más
  21. ^ Anand Lal Shimpi, Arquitectura Jaguar de AMD: La CPU que alimenta Xbox One, PlayStation 4, Kabini y Temash
  22. ^ https://www.olcf.ornl.gov/wp-content/uploads/2012/01/TitanWorkshop2012_Day1_AMD.pdf
  23. ^ XOP y FMA4 conjunto de instrucciones en SSE5 , Techreport.com, 2009-05-06 , recuperado 2012-01-23
  24. ^ AMD Financial Analyst Day 2010, Presentación de plataformas de servidor , Ir.amd.com, 2010-11-09 , consultado el 2012-01-23
  25. ^ Hoja de ruta de AMD , consultado el 23 de enero de 2012
  26. ^ AMD (2012-05-14), AMD Opteron 4200 Series Processor Quick Reference Guide (PDF) , www.amd.com , consultado el 15 de agosto de 2012
  27. ^ AMD (2012-05-14), AMD Opteron 6200 Series Processor Quick Reference Guide (PDF) , www.amd.com , consultado el 15 de agosto de 2012
  28. ^ Confirma ASUS AM3 + compatibilidad en los tablones de AM3 , Event.asus.com , recuperado 2012-01-23
  29. ^ MSI confirma la compatibilidad AM3 + en los tablones de AM3 , Event.msi.com , recuperado 2012-01-23
  30. ^ Los procesadores AM3 funcionarán en el socket AM3 +, pero los chips Bulldozer no funcionarán en placas base que no sean AM3 +. Archivado el 10 de diciembre de 2010 en Wayback Machine.
  31. ^ AMD lanza los primeros procesadores "Bulldozer"
  32. ^ Familias de procesadores AMD FX-Series , Cpu-world.com, 2012-10-02 , consultado el 2012-10-21
  33. Shilov, Anton (21 de septiembre de 2012). "AMD establece la" Fecha de lanzamiento "de FX" Vishera " . Laboratorios X-bit . Laboratorios X-bit. Archivado desde el original el 24 de septiembre de 2012 . Consultado el 23 de septiembre de 2012 .
  34. ^ ¿Qué es una excavadora? , 2010-08-02, archivado desde el original el 6 de agosto de 2010
  35. ^ Familia de microprocesadores AMD Opteron serie 6200 , cpu-world.com
  36. ^ "AMD demandó por supuestamente engañoso recuento de núcleos de Bulldozer" . Ars Technica . Consultado el 8 de noviembre de 2015 .
  37. ^ "Demanda AMD Bulldozer 'Core': AMD llega a un acuerdo por $ 12,1 millones, pagos para algunos" . AnandTech . Consultado el 19 de enero de 2021 .
  38. ^ "Tony Dickey y Paul Parmer, et al. V. Microdispositivos avanzados" . Archivado desde el original el 19 de octubre de 2019 . Consultado el 19 de enero de 2021 .
  39. ^ AMD FX-8150 Bulldozer En Ubuntu Linux , phoronix.com, 2011-10-24 , recuperada 13/12/2012
  40. ^ Solución del problema de aliasing de caché de Bulldozer AMD , phoronix.com
  41. ^ La niveladora FX-8150 de AMD se beneficia de los nuevos compiladores, tuning , phoronix.com
  42. ^ Bulldozer ha llegado: revisión del procesador AMD FX-8150 , laboratorios de X-bit, 2011-10-11, p. 13 , consultado el 23 de enero de 2012
  43. ^ Bulldozer ha llegado: revisión del procesador AMD FX-8150 , laboratorios de X-bit, 2011-10-11, p. 14, archivado desde el original el 16 de enero de 2012 , consultado el 23 de enero de 2012
  44. ^ Nuestra opinión sobre AMD FX , 'akozak' en nombre de los blogs de AMD, 2011-10-13, archivado desde el original el 15 de octubre de 2011 , consultado el 23 de enero de 2012
  45. ^ Hay una actualización disponible para equipos que tienen instalado un procesador AMD FX, AMD Opteron 4200, AMD Opteron 6200 o AMD Bulldozer series y que ejecutan Windows 7 o Windows Server 2008 R2 , support.microsoft.com, enero de 2012 , consultado en 2014 -02-11
  46. ^ Está disponible una actualización que deshabilita de forma selectiva la función Core Parking en Windows 7 o en Windows Server 2008 R2 , support.microsoft.com, enero de 2012 , consultado el 11 de febrero de 2014
  47. ^ "FX-8150 de AMD después de dos revisiones de Windows 7 y actualizaciones de UEFI" . tomshardware.com . 24 de enero de 2012.
  48. ^ Juegos de Steam en plataformas AMD FX , support.amd.com, 2012-06-12 , obtenidos 10/11/2012
  49. ^ "AMD: la microarquitectura de próxima generación compensará la recepción silenciosa de Bulldozer" . pcgamer.com .
  50. ^ La CPU AMD Bulldozer bate el récord mundial nuevamente logrando 8.461GHz , geek.com, 2011-11-01, archivado desde el original el 2012-04-28 , consultado el 2012-10-16
  51. ^ "Récord de velocidad de bulldozer AMD roto nuevamente a 8.58GHz" . tomshardware.com . 5 de noviembre de 2011.
  52. ^ Samuel D. "CPU-Z Validator 4.0" . Consultado el 23 de septiembre de 2014 .
  53. The Bulldozer Review: AMD FX-8150 Tested , AnandTech, 2011-10-12 , consultado el 2012-01-23
  54. Cutress, Ian (2 de febrero de 2016). "AMD lanza la excavadora en el escritorio: la athlon x4 845 de 65 vatios por 70 dólares" . anandtech . Consultado el 28 de marzo de 2017 .

enlaces externos

  • www.amd.com/en-us/products/processors/desktop/fx
Obtenido de " https://en.wikipedia.org/w/index.php?title=Bulldozer_(microarchitecture)&oldid=1045190634 "