Accellera Systems Initiative (Accellera) es una organización de estándares que admite una combinación de estándares de usuario y proveedor y desarrollo de interfaces abiertas en el área de automatización de diseño electrónico (EDA) y diseño y fabricación de circuitos integrados (IC). Tiene menos restricciones que el Instituto de Ingenieros Eléctricos y Electrónicos (IEEE) y, por lo tanto, es el punto de partida de muchas normas. Una vez maduros y adoptados por la comunidad en general, los estándares generalmente se transfieren al IEEE. [1]
Formación | 2000 |
---|---|
Propósito | Estándares |
Idioma oficial | inglés |
Sitio web | www |
Historia
En 2000, Accellera se fundó a partir de la fusión de Open Verilog International (OVI) y VHDL International , los desarrolladores de Verilog y VHDL respectivamente. Ambos se formaron originalmente nueve años antes, en 1991.
En junio de 2009, se anunció una fusión entre Accellera y The SPIRIT Consortium , otra importante organización de estándares de EDA centrada en la implementación y reutilización de IP . [2] El Consorcio SPIRIT obtuvo SystemRDL de SystemRDL Alliance [3] y luego desarrolló IP-XACT . La fusión se completó en abril de 2010. [4] SPIRIT significa "Estructura para empaquetar, integrar y reutilizar la propiedad intelectual dentro de los flujos de herramientas".
En diciembre de 2011, Accellera y Open SystemC Initiative (OSCI) aprobaron su fusión, adoptando el nombre Accellera Systems Initiative (Accellera) mientras continuaban desarrollando SystemC . [5] [6]
En octubre de 2013, Accellera adquirió el estándar Open Core Protocol (OCP), propiedad intelectual de OCP International Partnership (OCP-IP). [7]
El consorcio SPIRIT
El Consorcio SPIRIT era un grupo de proveedores y usuarios de herramientas de automatización de diseño electrónico (EDA), que definía estándares para el intercambio de información de diseño de System-on-a-chip (SoC). [8] Los estándares definidos incluyeron IP-XACT , un esquema XML para descripciones de componentes de diseño independientes del proveedor, y SystemRDL , un lenguaje para describir registros en componentes. [9] SPIRIT significa "Estructura para empaquetar, integrar y reutilizar la propiedad intelectual dentro de los flujos de herramientas".
En junio de 2009 se anunció que SPIRIT se fusionaría con Accellera. [10]
Membresía SPIRIT
Había cuatro niveles de membresía en el consorcio SPIRIT. La Junta Directiva (BoD) fue el órgano rector. [11] Los miembros en el momento de la fusión eran:
- ARM Holdings
- Sistemas de diseño de cadencia
- Semiconductor Freescale
- Corporación LSI
- Gráficos del mentor
- Semiconductores NXP
- STMicroelectronics
- Sinopsis
- Instrumentos Texas
Los miembros contribuyentes realizaron el trabajo de estandarización y donaron tiempo y esfuerzo a la producción de nuevas especificaciones. [12]
Revisar el estado de miembro era una membresía gratuita para las empresas. Estos obtienen acceso temprano a las especificaciones para facilitar una ronda de revisión profunda de cada propuesta antes de que se haga pública. [13]
El estado de miembro asociado era similar a una membresía de revisión, pero para académicos y otras organizaciones sin fines de lucro. [14]
Asociación Internacional de Asociaciones de Protocolo de Núcleo Abierto
La Asociación Internacional de Protocolo Open Core Partnership, Inc. ( OCP-IP ) fue una organización independiente, sin fines de lucro consorcio de la industria de semiconductores formado para administrar el apoyo, promoción y mejora del protocolo de núcleo abierto (OCP). OCP fue el primer socket de interfaz completo, con licencia abierta y totalmente compatible para núcleos de propiedad intelectual (IP) de semiconductores. La misión de OCP-IP era abordar problemas relacionados con el diseño, la verificación y las pruebas que son comunes a la reutilización de núcleos IP en productos de sistema " plug and play " en un chip (SoC). Esta iniciativa cumple de manera integral los requisitos de integración a nivel de sistema al promover la reutilización del núcleo IP y reducir el tiempo de diseño, el riesgo y los costos de fabricación de los diseños de SoC. Los equipos de diseño que desarrollan aplicaciones de consumo, procesamiento de datos , telecomunicaciones (inalámbricas o alámbricas), comunicaciones de datos y almacenamiento masivo pueden obtener importantes beneficios de la solución OCP-IP.
Membresía Accellera
Los miembros corporativos tienen derecho a ser elegibles para ser elegidos para la Junta Directiva. Las empresas asociadas tienen derecho a voto en todos los Grupos Técnicos de Trabajo de Accellera. [15]
Estándares
Los siguientes estándares EDA desarrollados por Accellera fueron ratificados por IEEE en 2019: [2]
- Verilog o IEEE 1364 o IEC 61691-4
- VHDL o IEEE 1076 o IEC 61691-1-1
- Lenguaje de especificación de propiedades (PSL) o IEEE 1850 o IEC 62531
- SystemC o IEEE 1666
- Extensiones SystemC de señal analógica / mixta o IEEE 1666.1
- SystemVerilog o IEEE 1800
- Formato de retardo estándar (SDF) o IEEE 1497 o IEC 61523-3
- Sistema de cálculo de retardo y potencia ( DPCS / OLA; consulte el formato estándar de intercambio de parásitos ) o IEEE 1481
- Formato de biblioteca avanzado (ALF) o IEEE 1603 o IEC 62265
- Interfaz de compresión abierta (OCI) o IEEE 1450.6.1
- Formato de energía unificado (UPF) o IEEE 1801
- Interfaz de modelo abierto (OMI) o IEEE 1499
- IP-XACT o IEEE 1685
- Metodología de verificación universal (UVM) o IEEE 1800.2
Las siguientes iniciativas de EDA fueron desarrolladas por Accellera:
- Protocolo de núcleo abierto (OCP)
- Lenguaje de verificación abierto (OVL)
- Biblioteca de verificación abierta (OVL)
- Estándar de estímulo y prueba portátil (PSS)
- Interfaz de modelado de co-emulación estándar (SCE-MI)
- Etiquetado de IP suave
- SystemRDL (lenguaje de descripción de registros del sistema)
- Estándar de interoperabilidad de cobertura unificada (UCIS)
- Metodología de verificación universal (UVM)
- Verilog-AMS (señal mixta analógica)
Ver también
- Comité de Normas de Automatización de Diseño (DASC)
Referencias
- ^ Sitio web de Accelera
- ^ a b "Accellera de las organizaciones de estándares de EDA y el consorcio SPIRIT anuncian planes para fusionarse" .
- ^ "Alianza SystemRDL" .
- ^ "Las Organizaciones de Normalización Accellera y el Consorcio SPIRIT completan la fusión" . 14 de abril de 2010.
- ^ "Accellera y Open SystemC Initiative (OSCI) aprueban fusión, se unen para formar Accellera Systems Initiative" .
- ^ "Accellera y Open SystemC Initiative (OSCI) aprueban fusión, se unen para formar Accellera Systems Initiative" .
- ^ "Accellera Systems Initiative adquiere una infraestructura y un estándar de protocolo de núcleo abierto para fortalecer la interoperabilidad en el desarrollo de estándares electrónicos" . 15 de octubre de 2013.
- ^ Esquemas del consorcio SPIRIT
- ^ El formato de descripción de registro obtiene el 'espíritu' de estandarización, Richard Goering, EE Times (21/05/2007 6:00 AM EDT)
- ^ "Accellera de las organizaciones de normalización de EDA y el consorcio SPIRIT anuncian planes para fusionarse" , comunicado de prensa, Accellera. 11 de junio de 2009
- ^ Junta de directores
- ^ Miembros contribuyentes
- ^ Miembros asociados
- ^ Revisión de miembros
- ^ Miembros
enlaces externos
- Página web oficial