De Wikipedia, la enciclopedia libre
Saltar a navegación Saltar a búsqueda
IBM Power E870 se puede configurar con hasta 80 núcleos POWER8 y 8 TB de RAM.

POWER8 es una familia de multiprocesadores simétricos superescalares basados ​​en el Power ISA , anunciado en agosto de 2013 en la conferencia Hot Chips . Los diseños están disponibles para licencia bajo la OpenPOWER Foundation , que es la primera vez que existe tal disponibilidad de los procesadores de gama más alta de IBM. [1] [2]

Los sistemas basados ​​en POWER8 estuvieron disponibles en IBM en junio de 2014. [3] Los diseños de sistemas y procesadores POWER8 hechos por otros miembros de OpenPOWER estuvieron disponibles a principios de 2015.

Diseño [ editar ]

POWER8 está diseñado para ser un chip de múltiples subprocesos masivos, con cada uno de sus núcleos capaz de manejar ocho subprocesos de hardware simultáneamente, para un total de 96 subprocesos ejecutados simultáneamente en un chip de 12 núcleos. El procesador utiliza grandes cantidades de cachés eDRAM dentro y fuera del chip , y los controladores de memoria en el chip permiten un ancho de banda muy alto para la memoria y la E / S del sistema. Para la mayoría de las cargas de trabajo, se dice que el chip funciona de dos a tres veces más rápido que su predecesor, el POWER7 . [4]

Los chips POWER8 vienen en variantes de 6 o 12 núcleos; [5] [6] cada versión se fabrica en un proceso de silicio sobre aislante (SOI) de 22 nm utilizando 15 capas de metal. La versión de 12 núcleos consta de 4.200 millones de transistores [7] y tiene un tamaño de 650 mm 2, mientras que la versión de 6 núcleos tiene solo 362 mm 2 de tamaño. [3] Sin embargo, las variantes de 6 y 12 núcleos pueden tener todos o solo algunos núcleos activos, por lo que los procesadores POWER8 vienen con 4, 6, 8, 10 o 12 núcleos activados.

CAPI [ editar ]

Donde los procesadores POWER anteriores usan el bus GX ++ para la comunicación externa, POWER8 lo elimina del diseño y lo reemplaza con el puerto CAPI (Coherent Accelerator Processor Interface) que se superpone a PCI Express 3.0 . El puerto CAPI se utiliza para conectar procesadores auxiliares especializados como GPU , ASIC y FPGA . [8] [9] Las unidades conectadas al bus CAPI pueden usar el mismo espacio de direcciones de memoria que la CPU, lo que reduce la longitud de la ruta de cálculo. En la Conferencia de Supercomputación ACM / IEEE de 2013 , IBM y Nvidia anunciaron una asociación de ingeniería para acoplar estrechamente POWER8 con las GPU de Nvidia en el futuro.Sistemas HPC , [10] y el primero de ellos anunciado como Power Systems S824L.

El 14 de octubre de 2016, IBM anunció la formación de OpenCAPI , una nueva organización para difundir la adopción de CAPI a otras plataformas. Los miembros iniciales son Google, AMD, Xilinx, Micron y Mellanox. [11]

OCC [ editar ]

POWER8 también contiene el llamado controlador en chip (OCC), que es un microcontrolador de administración térmica y de energía basado en un procesador PowerPC 405 . Tiene dos motores de descarga de propósito general (GPE) y 512  KB de RAM estática incorporada (SRAM) (1 KB = 1024 bytes), junto con la posibilidad de acceder a la memoria principal directamente, mientras se ejecuta un firmware de código abierto . OCC administra la frecuencia operativa, el voltaje, el ancho de banda de la memoria y el control térmico de POWER8 tanto para el procesador como para la memoria; Puede regular voltajes a través de 1,764 reguladores de voltaje integrados (IVR) sobre la marcha. Además, el OCC se puede programar para overclockel procesador POWER8, o para reducir su consumo de energía reduciendo la frecuencia de operación (que es similar al TDP configurable que se encuentra en algunos de los procesadores Intel y AMD). [12] [13] [14] [15]

Chip de memoria intermedia [ editar ]

POWER8 divide las funciones del controlador de memoria alejando algunas de ellas del procesador y acercándolas a la memoria. La lógica de programación, la gestión de la energía de la memoria y el punto de decisión de RAS se mueven a un chip de búfer de memoria (también conocido como Centaur ). [16] La descarga de ciertos procesos de memoria al chip Memory Buffer permite optimizar el acceso a la memoria, ahorrar ancho de banda y permitir una comunicación más rápida entre el procesador y la memoria. [17] También contiene estructuras de almacenamiento en caché para 16 MB adicionales. de caché L4 por chip (hasta 128 MB por procesador) (1 MB = 1024 KB). Dependiendo de la arquitectura del sistema, los chips de memoria intermedia se colocan en los módulos de memoria (DIMM / CDIMM personalizados, por ejemplo, en los modelos S824 y E880) o en la tarjeta vertical de memoria que contiene DIMM estándar (por ejemplo, en los modelos S822LC). [18]

El chip Memory Buffer se conecta al procesador mediante un enlace en serie de varios carriles de alta velocidad. El canal de memoria que conecta cada chip de búfer es capaz de escribir 2 bytes y leer 1 byte a la vez. Funciona a 8  GB / s en los primeros modelos Entry, [17] posteriormente aumentado en los modelos de gama alta y HPC a 9,6 GB / s con una latencia de 40 ns, [18] [19] [20] para un ancho de banda sostenido de 24 GB / sy 28,8 GB / s por canal, respectivamente. Cada procesador tiene dos controladores de memoria con cuatro canales de memoria cada uno, y el ancho de banda máximo de procesador a búfer de memoria es de 230,4 GB / s por procesador. Dependiendo del modelo, solo se puede habilitar un controlador, [17] o solo se pueden usar dos canales por controlador. [18]Para una mayor disponibilidad, el enlace proporciona aislamiento y reparación de carriles "sobre la marcha". [dieciséis]

Cada chip Memory Buffer tiene cuatro interfaces que permiten usar memoria DDR3 o DDR4 a 1600 MHz sin cambios en la interfaz de enlace del procesador. Los 32 canales de memoria resultantes por procesador permiten una tasa de acceso máxima de 409,6 GB / s entre los chips del búfer de memoria y los bancos DRAM. Inicialmente, el soporte estaba limitado a módulos DIMM de 16 GB, 32 GB y 64 GB, lo que permitía que el procesador direccionara hasta 1 TB. Posteriormente se anunció el soporte para DIMM de 128 GB y 256 GB, [19] [21] permitiendo hasta 4 TB por procesador.

Especificaciones [ editar ]

El núcleo POWER8 [22] [23] tiene una caché de datos L1 de 64  KB contenida en la unidad de almacenamiento de carga y una caché de instrucciones L1 de 32 KB contenida en la unidad de búsqueda de instrucciones, junto con una caché L2 de 512 KB estrechamente integrada . En un solo ciclo, cada núcleo puede obtener hasta ocho instrucciones, decodificar y enviar hasta ocho instrucciones, emitir y ejecutar hasta diez instrucciones y confirmar hasta ocho instrucciones. [24]

Cada núcleo de POWER8 consta principalmente de las siguientes seis unidades de ejecución :

  • Unidad de búsqueda de instrucciones (IFU)
  • Unidad de secuenciación de instrucciones (ISU)
  • Unidad de carga y almacenamiento
  • Unidad de punto fijo (FXU)
  • Unidad vectorial y escalar (VSU)
  • Unidad de coma flotante decimal (DFU)

Cada núcleo tiene dieciséis pipelines de ejecución:

  • Dos tuberías de punto fijo
  • Dos tuberías de almacenamiento de carga
  • Dos tuberías de carga
  • Cuatro tuberías de punto flotante de doble precisión , que también pueden actuar como ocho tuberías de precisión simple
  • Dos canalizaciones vectoriales completamente simétricas con soporte para instrucciones VMX y VSX AltiVec .
  • Una canalización criptográfica ( AES , modo contador de Galois , SHA-2 ) [25]
  • Canalización de ejecución de una rama
  • Canalización lógica de registro de una condición
  • Una canalización de coma flotante decimal

Tiene una cola de problemas más grande con 4 × 16 entradas, predictores de rama mejorados y puede manejar el doble de fallos de caché. Cada núcleo tiene un hardware de ocho vías multiproceso y se puede particionar de forma dinámica y automática para tener uno, dos, cuatro o los ocho subprocesos activos. [1] POWER8 también agregó soporte para memoria transaccional de hardware . [26] [27] [28] IBM estima que cada núcleo es 1,6 veces más rápido que el POWER7 en operaciones de un solo subproceso.

Un procesador POWER8 es un diseño de 6 o 12 chips con variantes de 4, 6, 8, 10 o 12 chips activados, en los que un chiplet consta de un núcleo de procesamiento, 512 KB de caché SRAM L2 en un ancho de 64 bytes bus (que es dos veces más ancho que en su predecesor [1] ), y 8 MB de caché eDRAM L3 por chiplet compartible entre todos los chiplets. [5] Por lo tanto, un procesador de seis chips tendría 48 MB de caché eDRAM L3, mientras que un procesador de 12 chips tendría un total de 96 MB de caché eDRAM L3. El chip también puede utilizar hasta 128 MB de caché eDRAM L4 fuera del chip utilizando chips complementarios Centaur. Los controladores de memoria en chip pueden manejar 1 TB de RAM y un ancho de banda de memoria sostenido de 230 GB / s. El PCI Express integradoLos controladores pueden manejar 48 GB / s de E / S a otras partes del sistema. Los núcleos están diseñados para funcionar a frecuencias de reloj de entre 2,5 y 5 GHz. [15]

Los chips de seis núcleos se montan en pares en módulos de doble chip (DCM) en los servidores escalables de IBM . En la mayoría de las configuraciones, no todos los núcleos están activos, lo que da como resultado una variedad de configuraciones en las que el número real de núcleos es diferente. La versión de 12 núcleos se utiliza en los modelos E880 y E880C de gama alta.

El módulo POWER8 de un solo chip de IBM se llama Turismo [29] y la variante de doble chip se llama Murano. [30] La versión modificada de PowerCore se llama CP1.

POWER8 con NVLink [ editar ]

Esta es una versión revisada del POWER8 original de 12 núcleos de IBM, y solía llamarse POWER8 + . La principal novedad es que tiene soporte para la tecnología de bus NVLink de Nvidia , que conecta hasta cuatro dispositivos NVLink directamente al chip. IBM eliminó las interfaces A Bus y PCI para conexiones SMP a otros sockets POWER8 y las reemplazó con interfaces NVLink. La conexión a un segundo zócalo de CPU ahora se proporciona a través del X Bus . Además de eso y un ligero aumento de tamaño a 659 mm 2 , las diferencias parecen mínimas en comparación con los procesadores POWER8 anteriores. [31] [32] [33] [34] [35]

Licenciatarios [ editar ]

El 19 de enero de 2014, Suzhou PowerCore Technology Company anunció que se unirá a OpenPOWER Foundation y otorgará la licencia del núcleo POWER8 para diseñar procesadores personalizados para su uso en aplicaciones de big data y computación en la nube . [36] [37]

Variantes [ editar ]

  • IBM Murano  : un procesador de 12 núcleos con dos chips de seis núcleos. El procesador escalable está disponible en configuraciones con núcleos deshabilitados.
  • IBM Turismo  : un procesador de 12 núcleos de un solo chip. El procesador escalable está disponible comercialmente para licenciamiento y compra en configuraciones con núcleos deshabilitados.
  • PowerCore CP1  : una variante de POWER8 con características de seguridad revisadas debido a las restricciones de exportación entre Estados Unidos y China que se fabricará en la fábrica de GlobalFoundries (anteriormente la planta de IBM) en East Fishkill, Nueva York . Publicado en 2015. [38] [39]

Sistemas [ editar ]

Vista trasera de un E870, en el que la unidad de control del sistema está en la parte superior y el nodo del sistema está en el medio. [40]
IBM
Servidores escalables, que admiten uno o dos sockets, cada uno con un módulo de doble chip con dos procesadores POWER8 de seis núcleos. Vienen en factores de forma de 2U o 4U y una configuración de torre. Las versiones "L" ejecutan solo Linux , mientras que las otras ejecutan AIX , IBM iy Linux. Las versiones "LC" son construidas por socios de OpenPOWER. [41] [42] [43]
  • Power Systems S812L  - 1 × POWER8 DCM (4, 6 u 8 núcleos), 2U
  • Power Systems S814  - 1 × POWER8 DCM (6 u 8 núcleos), 4U o torre
  • Power Systems S822 y S822L  - 1 × o 2 × POWER8 DCM (6, 10, 12 o 20 núcleos), 2U
  • Power Systems S824 y S824L  - 1 × o 2 × POWER8 DCM (6, 8, 12, 16 o 24 núcleos), 4U
  • Power Systems S821LC "Stratton"  - 2 × POWER8 SCM (8 o 10 núcleos), 1U. Hasta 512 GB de RAM DDR4 con búfer de cuatro chips Centaur L4. Fabricado por Supermicro . [44]
  • Power Systems S822LC para Big Data "Briggs"  - 2 × POWER8 SCM (8 o 10 núcleos), 2U. Hasta 512 GB de RAM DDR4 con búfer de cuatro chips Centaur L4. Fabricado por Supermicro. [44]
Servidores empresariales , que admiten nodos con cuatro sockets, cada uno con módulos de 8, 10 o 12 núcleos, para un máximo de 16 sockets, 128 núcleos y 16 TB de RAM. Estas máquinas pueden ejecutar AIX , IBM i o Linux . [45]
  • Power Systems E850  - 2 ×, 3 × o 4 × POWER8 DCM (8, 10 o 12 núcleos), 4U
  • Power Systems E870  - 1 × o 2 × nodos 5U, cada uno con cuatro zócalos con módulos de un solo chip POWER8 de 8 o 10 núcleos, para un total de 80 núcleos
  • Power Systems E880  - 1x, 2x, 3x o 4x nodos 5U, cada uno con cuatro zócalos con módulos de un solo chip POWER8 de 8 o 12 núcleos para un total de 192 núcleos
Computación de alto rendimiento :
  • Power Systems S812LC  - 1 × POWER8 SCM (8 o 10 núcleos), 2U. Fabricado por Tyan. [46]
  • Power Systems S822LC "Firestone"  - 2 × POWER8 SCM (8 o 10 núcleos), 2U. Dos GPU Nvidia Tesla K80 y hasta 1 TB de RAM DDR3 básica. Fabricado por Wistron . [38] [46] [47] [48]
  • Power Systems S822LC para HPC "Minsky"  - 2 × POWER8 + SCM (8 o 10 núcleos), 2U. Hasta cuatro GPU Nvidia Tesla P100 con NVLinked y hasta 1 TB de RAM DDR4 básica. Fabricado por Wistron . [44] [49]
Consola de administración de hardware
  • 7063-CR1 HMC  - 1 × POWER8 SCM (6 núcleos), 1U. Basado en el diseño SuperMicro "Stratton". [50]
Tyan
  • Una placa base ATX con un zócalo POWER8 de un solo chip llamado SP010GM2NR. [29]
  • Palmetto GN70-BP010 , sistema de referencia OpenPower. Servidor de 2U, con un POWER8 SCM de cuatro núcleos, cuatro zócalos de RAM, basado en una placa base de Tyan. [29] [51]
  • Habanero TN-71-BP012 . 2U, con un POWER8 SCM de 8 núcleos, 32 sockets RAM [38] [48] [51]
  • GT75-BP012 . 1U, con un único POWER8 SCM de 8 o 10 núcleos y 32 sockets para módulos RAM [52]
Google
Google ha mostrado una placa base con dos enchufes, diseñada solo para uso interno. [53] [54]
StackVelocity
StackVelocity ha diseñado una plataforma de referencia de alto rendimiento, Saba.
Inspur
Inspur ha llegado a un acuerdo con IBM para desarrollar hardware de servidor basado en POWER8 y tecnologías relacionadas. [55] [56]
  • Servidor 4U, dos zócalos POWER8. [57]
Cirrascale
RM4950  - 4U, 4-core POWER8 SCM con cuatro aceleradores Nvidia Tesla K40. Basado en la placa base de Tyan. [38] [47] [48] [58]
Zoom Netcom
RedPOWER C210 y C220  - Servidores de 2U y 4U con dos sockets POWER8 y 64 sockets para módulos RAM. [38] [59]
RedPOWER C310 y C320  - Servidores 2U y 4U con dos sockets CP1. [59]
ChuangHe
OP-1X  - 1U, socket único, 32 ranuras RAM. [38] [60]
Rackspace
Barreleye  - 1U, 2 zócalos, 32 ranuras RAM. Basado en la plataforma Open Compute Project para usar en su servicio OnMetal. [48] [60] [61] [62] [63]
Sistemas informáticos Raptor / Ingeniería Raptor
Talos I  : servidor o estación de trabajo 4U inédito, 1 socket, 8 ranuras de RAM. [64]
Computación pingüino
Serie de productos Magna [65] [66]
  • Magna 2001 (desarrollo de software) [67]
  • Magna 1015 (virtualización) [68] [69]
  • Magna 2002 y Magna 2002S (aprendizaje automático) [70] [71]

Ver también [ editar ]

  • Microprocesadores IBM POWER
  • Fundación OpenPOWER
  • POTENCIA7
  • PODER9
  • IBM A2

Referencias [ editar ]

  1. ^ a b c No encontrarás esto en tu teléfono: un Power8 de 12 núcleos a 4GHz para cajas rudas
  2. ^ "Manual del usuario del procesador POWER8 para el módulo de un solo chip" (PDF) . IBM. 16 de marzo de 2016.
  3. ^ a b "IBM POWER8 - Planes de anuncio / disponibilidad" (PDF) . Archivado desde el original (PDF) el 24 de mayo de 2014 . Consultado el 23 de mayo de 2014 .
  4. ^ "Watson de IBM podría volverse aún más inteligente con el chip Power8" . idgconnect.com . Consultado el 17 de diciembre de 2014 .
  5. ↑ a b Hurlimann, Dan (junio de 2014). "Hardware POWER8" (PDF) . ibm.com . IBM . Consultado el 5 de noviembre de 2014 .
  6. ^ "IBM Power System S814" . Consultado el 17 de diciembre de 2014 .
  7. ^ "POWER8: Un procesador de clase de servidor de 12 núcleos en SOI de 22 nm con un ancho de banda fuera del chip de 7,6 TB / s". doi : 10.1109 / ISSCC.2014.6757353 . S2CID 32988422 .  Cite journal requiere |journal=( ayuda )
  8. ^ Agam Shah (17 de diciembre de 2014). "El nuevo Power8 de IBM duplica el rendimiento del chip Watson" . PC World . Consultado el 17 de diciembre de 2014 .
  9. ^ "Procesador IBM Power8 detallado: diseño de 22 nm con 12 núcleos, caché de eDRAM L3 de 96 MB y velocidad de reloj de 4 GHz" . WCCFtech . Consultado el 17 de diciembre de 2014 .
  10. ^ Altavilla, Dave (18 de noviembre de 2013). "Nvidia presenta el acelerador Tesla K40 y una asociación estratégica con IBM" . Forbes . Consultado el 18 de noviembre de 2013 .
  11. ^ Gelas, Johan De. "OpenCAPI presentado: AMD, IBM, Google, Xilinx, Micron y Mellanox unen fuerzas en la era de la informática heterogénea" . Consultado el 17 de octubre de 2016 .
  12. Todd Rosedahl (20 de diciembre de 2014). "El código de firmware de OCC ahora es de código abierto" . openpowerfoundation.org . Consultado el 27 de diciembre de 2014 .
  13. ^ "open-power / docs: descripción general de OCC" . GitHub . 2014-12-09 . Consultado el 27 de diciembre de 2014 .
  14. ^ "Ingeniería de semiconductores.:. El buen tipo de regulación" . Consultado el 17 de diciembre de 2014 .
  15. ↑ a b Frédéric Rémond. "ISSCC 2014 - IBM dévoile le Power8" . Consultado el 17 de diciembre de 2014 .
  16. ^ a b Introducción al procesador POWER8 , p.22
  17. ^ a b c Descripción general e introducción técnica de IBM Power System S822 (REDP-5102-00)
  18. ^ a b c Descripción general e introducción técnica de IBM Power System S822LC (REDP-5283-00)
  19. ^ a b Descripción general e introducción técnica de IBM Power Systems E870 y E880 (REDP-5137-00)
  20. ^ Implementación de un clúster IBM InfoSphere BigInsights con Linux on Power (SG24-8248-00)
  21. ^ Anuncio de hardware de IBM ZG14-0279, Mejoras de E / S de IBM Power Systems (RPQ 8A2232)
  22. ^ Jeff Stuecheli. "POWER8" (PDF) .
  23. ^ Alex Mericas. "Características de rendimiento del procesador POWER8" (PDF) .
  24. ^ Sinharoy, B .; Van Norstrand, JA; Eickemeyer, RJ; Le, HQ; Leenstra, J .; Nguyen, DQ; Konigsburg, B .; Ward, K .; Brown, MD; Moreira, JE; Levitan, D .; Tung, S .; Hrusecky, D .; Bishop, JW; Gschwind, M .; Boersma, M .; Kroener, M .; Kaltenbach, M .; Karkhanis, T .; Fernsler, KM (2015). "Microarquitectura del núcleo del procesador IBM POWER8" . IBM Journal of Research and Development . 59 : 2: 1–2: 21. doi : 10.1147 / JRD.2014.2376112 .
  25. ^ Leonidas Barbosa (21 de septiembre de 2015). "Criptografía en núcleo POWER8" . IBM.
  26. ^ "Técnicas de optimización y ajuste del rendimiento para procesadores IBM, incluido IBM POWER8" (PDF) . IBM . Julio de 2014 . Consultado el 8 de febrero de 2015 .
  27. ^ Wei Li (18 de noviembre de 2014). "Funciones integradas de memoria transaccional de hardware del compilador IBM XL para IBM AIX en sistemas basados ​​en procesadores IBM POWER8" . IBM . Consultado el 8 de febrero de 2015 .
  28. ^ Harold W. Cain, Maged M. Michael, Brad Frey, Cathy May, Derek Williams y Hung Le. "Soporte arquitectónico robusto para la memoria transaccional en la arquitectura de energía". En ISCA '13 Proceedings of the 40th Annual International Symposium on Computer Architecture, págs. 225-236, ACM, 2013. doi : 10.1145 / 2485922.2485942
  29. ^ a b c "Tyan envía el primer servidor Power8 no IBM" . EnterpriseTech . Consultado el 17 de diciembre de 2014 .
  30. ^ Hierro Power8 para enfrentarse a Xeons de cuatro enchufes, nextplatform.com, 2015-05-11
  31. ^ OpenPOWER y la hoja de ruta por delante - Brad McCredie
  32. ^ IBM presenta el chip Power8 con NVLink y 3 nuevos sistemas
  33. ^ Informe técnico - NVIDIA Tesla P100: el acelerador de centro de datos más avanzado jamás construido con Pascal GP100, la GPU más rápida del mundo
  34. ^ IBM Power Systems S822LC para descripción general e introducción técnica de computación de alto rendimiento
  35. ^ Caldeira, Alexandre Bicas; Haug, Volker (28 de septiembre de 2017). IBM Power System S822LC para la informática de alto rendimiento Introducción y descripción técnica (PDF) . Redpaper de IBM. ISBN  9780738455617.
  36. ^ "Sala de noticias de IBM - 2014-01-19 Suzhou PowerCore Technology Co. tiene la intención de utilizar la tecnología IBM POWER para el diseño de chips que impulsa la innovación en China - Estados Unidos" . 03.ibm.com . Consultado el 22 de enero de 2014 .
  37. ^ Chris Maxcer y Mel Beckman. "Suzhou PowerCore comenzará a utilizar IBM POWER Tech para el diseño de nuevos chips en China" . PowerITPro . Consultado el 22 de enero de 2014 .
  38. ^ a b c d e f OpenPower Collective se abre para System Business / nextplatform.com, 2015-03
  39. ^ La Fundación presenta una gran cantidad de primicias de OpenPOWER
  40. ^ Introducción y descripción general técnica de IBM Power Systems E870 y E880
  41. ^ IBM anuncia POWER8 con OpenPOWER Partners
  42. ^ "Sala de noticias de IBM - 23/04/2014 IBM aborda los desafíos de Big Data con el modelo de innovación de servidor abierto - Estados Unidos" . Consultado el 17 de diciembre de 2014 .
  43. ^ "Hardware de escalamiento horizontal con tecnología POWER8" (PDF) . Archivado desde el original (PDF) el 23 de mayo de 2014.
  44. ^ a b c Sistemas IBM Power Linux actualizados Agregar NVLink
  45. ^ Introducción y descripción general técnica de IBM Power Systems E870 y E880
  46. ^ a b IBM vuelve a HPC con clústeres LC de Power Systems / nextplatform.com, 2015-10
  47. ^ a b El primer servidor OpenPOWER de IBM apunta a cargas de trabajo HPC
  48. ^ a b c d Los líderes tecnológicos de OpenPOWER Foundation presentan soluciones de hardware para ofrecer nuevas alternativas de servidor
  49. ^ Nuevos paquetes de servidores Power8 de IBM en la veloz interconexión NVLink de Nvidia
  50. ^ Instalación de hardware de HMC 7063-CR1 (HMC basada en POWER8)
  51. ^ a b "Sistema Tyan OpenPOWER" .
  52. ^ TYAN presenta un nuevo servidor de 1U basado en POWER8 en OpenPOWER Summit 2016
  53. ^ "Dentro de Google, placas de servidor Tyan Power8" . EnterpriseTech . Consultado el 17 de diciembre de 2014 .
  54. ^ "Hoy estoy emocionado de mostrar una placa base de servidor Google POWER8 en el…" . Consultado el 17 de diciembre de 2014 .
  55. ^ "IBM para ayudar a Inspur de China a diseñar servidores" . Reuters . Consultado el 17 de diciembre de 2014 .
  56. ^ Alex Barinka (23 de agosto de 2014). "IBM deja de lado la rivalidad para asociarse con Inspur de China" . Bloomberg . Consultado el 17 de diciembre de 2014 .
  57. ^ 14 vistas de la Open Power Summit
  58. ^ Cirrascale RM4950 / Plataforma de desarrollo multidispositivo POWER8®
  59. ^ a b Página de productos RedPOWER
  60. ^ a b OpenPower Group muestra sus productos de hardware iniciales
  61. ^ "OpenPOWER: abriendo la pila, todo el camino hacia abajo" . Archivado desde el original el 30 de abril de 2015 . Consultado el 21 de marzo de 2015 .
  62. ^ Rackspace Building Open Compute Server basado en OpenPOWER
  63. ^ La vida en la intersección: OpenPOWER, Open Compute y el futuro del software y la infraestructura en la nube
  64. ^ Pearson, Timothy. "Talos Secure Workstation" (descripción del producto) . Suministro de multitudes .
  65. Shilov, Anton (15 de abril de 2016). "OpenPOWER gana soporte como Inventec, Inspur, Supermicro desarrollan servidores basados ​​en POWER8" (web) . AnandTech . Consultado el 16 de noviembre de 2017 .
  66. Gelas, Johan De (24 de febrero de 2017). "La saga OpenPOWER continúa: ¿Se puede obtener POTENCIA dentro de 1U?" (web) . AnandTech . Consultado el 16 de noviembre de 2017 .
  67. ^ "Ficha técnica de Penguin Magna 2001" (PDF) . Computación de pingüinos .
  68. ^ "Ficha técnica de Penguin Magna 1015" (PDF) . Computación de pingüinos .
  69. ^ "Penguin Computing anuncia OpenPOWER Server Platform y Go-To-Market Partner Mark III Systems - Penguin Computing" (comunicado de prensa) . Las Vegas: Penguin Computing. 2016-09-19 . Consultado el 16 de noviembre de 2017 .
  70. ^ "Ficha técnica de Penguin Magna 2002" (PDF) . Computación de pingüinos .
  71. ^ "Penguin Computing anuncia nuevos servidores Magna y Relion con aceleradores de GPU NVIDIA Tesla P100 para informática de alto rendimiento" (comunicado de prensa) . Computación de pingüinos . Freemont, CA. 2016-06-20 . Consultado el 16 de noviembre de 2017 .

Enlaces externos [ editar ]

  • Descripción general de POWER8, IBM Power Systems (PDF)