De Wikipedia, la enciclopedia libre
Saltar a navegación Saltar a búsqueda

La familia PowerPC 600 fue la primera familia de procesadores PowerPC construida. Fueron diseñados en las instalaciones de Somerset en Austin, Texas , financiados conjuntamente y atendidos por ingenieros de IBM y Motorola como parte de la alianza AIM . Somerset se inauguró en 1992 y su objetivo era fabricar el primer procesador PowerPC y luego seguir diseñando procesadores PowerPC de uso general para computadoras personales . La primera encarnación se convirtió en el PowerPC 601 en 1993, y la segunda generación pronto siguió con el PowerPC 603, PowerPC 604 y el PowerPC 620 de 64 bits.

Familia nuclear [ editar ]

PowerPC 601 [ editar ]

El prototipo PowerPC 601 alcanzó el primer silicio en octubre de 1992

El PowerPC 601 fue la primera generación de microprocesadores que admitió el conjunto básico de instrucciones PowerPC de 32 bits . El esfuerzo de diseño comenzó en serio a mediados de 1991 y los primeros chips prototipo estuvieron disponibles en octubre de 1992. Los primeros procesadores 601 se introdujeron en una estación de trabajo IBM RS / 6000 en octubre de 1993 (junto con su primo multichip más potente de la línea de procesadores IBM POWER2 ) y los primeros Apple Power Macintosh el 14 de marzo de 1994. El 601 fue la primera implementación avanzada de un solo chip de la arquitectura POWER / PowerPC diseñada en un programa de choque para establecer PowerPC en el mercado y consolidar la alianza AIM. Para lograr un cronograma extremadamente agresivo y al mismo tiempo incluir una funcionalidad sustancialmente nueva (como mejoras sustanciales de rendimiento, nuevas instrucciones y, lo que es más importante, la primera implementación de multiprocesamiento simétrico (SMP) de POWER / PowerPC ) el diseño aprovechó una serie de tecnologías clave y estrategias de gestión de proyectos. El equipo 601 aprovechó gran parte de la estructura básica y partes del procesador IBM RISC Single Chip (RSC), [1] pero también incluyó soporte para la gran mayoría de las nuevas instrucciones PowerPC que no están en elConjunto de instrucciones POWER . Si bien casi todas las partes del diseño de RSC se modificaron, y muchos bloques de diseño se modificaron sustancialmente o se rediseñaron por completo, dada la estructura de bus de E / S unificada completamente diferente y el soporte de coherencia de memoria / SMP . Los nuevos cambios de PowerPC, aprovechando la estructura básica de RSC, fue muy beneficioso para reducir la incertidumbre en el área de chip / planificación de piso y análisis / ajuste de tiempo. Vale la pena señalar que el 601 no solo implementó nuevas funciones clave sustanciales como SMP, sino que también actuó como un puente entre el POWER y los futuros procesadores PowerPC para ayudar a IBM y a los desarrolladores de software en su transición a PowerPC. Desde el inicio del diseño hasta el cierre del primer prototipo 601 fueron solo 12 meses para presionar duro para establecer PowerPC en el mercado temprano.

60x bus [ editar ]

Para ayudar al esfuerzo de incorporar rápidamente la arquitectura del bus 88110 al 601 en beneficio de la alianza y sus clientes, la administración de Motorola proporcionó no solo las especificaciones de la arquitectura del bus 88110, sino también un puñado de diseñadores con conocimientos de bus 88110 para ayudar con la implementación y verificación de la lógica del bus 60x. Dado que el equipo de diseño del sistema de Apple estaba familiarizado con la estructura del bus de E / S del 88110 de Motorola y esta implementación del bus de E / S estaba bien definida y documentada, el equipo 601 adoptó la tecnología de bus para mejorar el tiempo de comercialización. El bus fue rebautizado como bus 60x una vez implementado en el 601. [2] Estos diseñadores de Motorola (y un pequeño número de Apple) se unieron a más de 120 diseñadores de IBM para crear el 601.

El uso del autobús 88110 como base para el autobús 60x ayudó a los horarios de varias maneras. Ayudó al equipo de Apple Power Macintosh al reducir la cantidad de rediseño de sus ASIC de soporte y redujo la cantidad de tiempo necesario para que los diseñadores y arquitectos de procesadores propongan, documenten, negocien y cierren una nueva interfaz de bus (evitando con éxito el "Bus Guerras "esperadas por el equipo de gestión del 601 si el autobús 88110 o los autobuses RSC anteriores no se habían adoptado). Vale la pena señalar que aceptar el bus 88110 en beneficio de los esfuerzos de Apple y la alianza fue a expensas de los esfuerzos del primer equipo de diseño del sistema IBM RS / 6000 que ya tenía sus ASIC de soporte implementados alrededor de la estructura de bus totalmente diferente de RSC.

Este bus 60x se convirtió más tarde en una interfaz básica de larga duración para las muchas variantes de los procesadores 601, 603, 604, G3 , G4 y Motorola / Freescale PowerQUICC .

Un PowerPC 601 de 80 MHz

Diseño [ editar ]

El chip fue diseñado para adaptarse a una amplia variedad de aplicaciones y tenía soporte para caché L2 externo y multiprocesamiento simétrico . Tenía cuatro unidades funcionales, incluida una unidad de punto flotante , una unidad de número entero , una unidad de rama y una unidad de secuenciador. El procesador también incluía una unidad de gestión de memoria . La tubería de enteros tenía cuatro etapas de longitud, la tubería de ramificación de dos etapas, la tubería de memoria de cinco etapas y la tubería de punto flotante de seis etapas.

Lanzado por primera vez en sistemas IBM en el otoño de 1993, IBM lo comercializó como PPC601 y Motorola como MPC601. Operaba a velocidades que iban de 50 a 80 MHz. Se fabricó mediante un proceso CMOS de 0,6 μm con cuatro niveles de interconexión de aluminio . El troquel tenía un tamaño de 121 mm 2 y contenía 2,8 millones de transistores. El 601 tiene una caché L1 unificada de 32 KB , una capacidad que se consideró grande en ese momento para una caché en chip. Gracias en parte a la gran memoria caché, se lo consideró un procesador de alto rendimiento en su segmento, superando al Intel Pentium de la competencia . El PowerPC 601 se utilizó en las primeras computadoras Power Macintosh de Appley en una variedad de estaciones de trabajo RS / 6000 y servidores SMP de IBM y Groupe Bull .

IBM fue el único fabricante de los microprocesadores 601 y 601+ en sus instalaciones de producción de Burlington, Vermont y East Fishkill, Nueva York . El 601 utilizó el proceso IBM CMOS-4s y el 601+ utilizó el proceso IBM CMOS-5x. Un número extremadamente pequeño de estos procesadores 601 y 601+ fueron reetiquetados con logotipos y números de pieza de Motorola y distribuidos a través de Motorola. Estos hechos son algo oscurecida dado que hay varias imágenes de la "Motorola MPC601", sobre todo si uno determinada de la comercialización de Motorola magistral donde el 601 fue nombrado uno de la revista Time ' s 1994 'Productos del Año' con una marca Motorola.

  • Microprocesador PowerPC 601, conferencia de Keith Diefendorff

PowerPC 601v [ editar ]

Un IBM fabricado PowerPC 601v de 90 MHz. Observe el dado un poco más pequeño.

En 1994 se introdujo una versión actualizada, el PowerPC 601v o PowerPC 601+ , que funciona de 90 a 120 MHz. Se fabricó en un nuevo proceso CMOS de 0,5 μm con cuatro niveles de interconexión, lo que dio como resultado un troquel de 74 mm 2 . El diseño 601+ fue reasignado de CMOS-4 a CMOS-5x por un equipo exclusivo de IBM. Para evitar retrasos en el tiempo de comercialización debido a cambios en las herramientas de diseño y la comúnización de reglas básicas fabulosas, tanto el 601 como el 601+ se diseñaron con herramientas IBM EDA en sistemas IBM y se fabricaron en instalaciones exclusivas de IBM. [3] [4] [5] [6]

PowerPC 603 [ editar ]

Un Motorola PowerPC 603 de 100 MHz en un paquete Quad Flat con enlace de cable

El PowerPC 603 fue el primer procesador que implementó la Arquitectura PowerPC completa de 32 bits como se especifica. Fue diseñado para ser un procesador de gama baja y de bajo costo para uso portátil e integrado . Una de las características principales eran las funciones de ahorro de energía (modo de sueño, siesta y de suspensión) que podían reducir drásticamente los requisitos de energía, consumiendo solo 2 mW en el modo de suspensión. El 603 tiene una tubería de cuatro etapas y cinco unidades de ejecución: unidad entera, unidad de punto flotante, unidad de predicción de rama , unidad de carga / almacenamiento y una unidad de registro del sistema. Tiene cachés L1 separados de 8 KB para instrucciones y datos y un bus de memoria de 32/64 bits 60x, que alcanza hasta 75 MHz. El núcleo 603 no tenía soporte de hardware para SMP .

Un Motorola PowerPC 603 de 200 MHz en un empaquetado de Ball Grid Array de cerámica

El PowerPC 603 tenía 1,6 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. La matriz era de 85 mm2 de dibujo grande de 3 W a 80 MHz. [7] La arquitectura 603 es el antepasado directo de la arquitectura PowerPC 750 , comercializada por Apple como PowerPC "G3".

El 603 estaba destinado a ser utilizado para computadoras portátiles Apple Macintosh, pero no podía ejecutar el software de emulación 68K con un rendimiento que Apple consideraba adecuado, debido a las cachés de procesador más pequeñas. Como resultado, Apple eligió usar solo el 603 en su línea Performa de escritorio de bajo costo. [8] [9] Esto provocó el retraso del Apple PowerBook 5300 y PowerBook Duo 2300, ya que Apple decidió esperar una revisión del procesador. El uso de Apple del 603 en la línea Performa 5200 llevó al procesador a tener una mala reputación. Aparte del problema del rendimiento de la emulación 68K, las máquinas Performa se enviaron con una variedad de fallas de diseño, algunas de ellas graves, relacionadas con otros aspectos del diseño de las computadoras, incluido el rendimiento y la estabilidad de la red, problemas de bus (ancho, velocidad, contención, etc.). y complejidad), errores de ROM y rendimiento del disco duro. [10] [11] Ninguno de los problemas de la línea 5200, aparte del rendimiento de la emulación 68K, se debió inherentemente al 603. Más bien, el procesador fue actualizado para ser utilizado con placas base 68K y otras partes obsoletas. [12] El sitio Low End Mac califica al Performa 5200 como el peor Mac de todos los tiempos.[13] El 603 encontró un uso generalizado en diferentes dispositivos integrados. [ cita requerida ]

PowerPC 603e y 603ev [ editar ]

IBM PPC603ev, 200 MHz

Los problemas de rendimiento del 603 se abordaron en el PowerPC 603e . La caché L1 se amplió y mejoró a cachés de instrucciones y datos asociativos de conjuntos de cuatro vías de 16 KB. La velocidad de reloj de los procesadores también se duplicó, alcanzando los 200 MHz. Reducir el proceso de fabricación a 0,35 μm permitió velocidades de hasta 300 MHz. Esta pieza a veces se denomina PowerPC 603ev . El 603e y el 603ev tienen 2,6 millones de transistores cada uno y tienen un tamaño de 98 mm 2 y 78 mm 2 respectivamente. El 603ev consume un máximo de 6 W a 300 MHz. [14] [15]

El PowerPC 603e fue el primer procesador de escritorio convencional en alcanzar los 300 MHz, como se usó en el Power Macintosh 6500 . El 603e también se usó en tarjetas aceleradoras de Phase5 para la línea de computadoras Amiga , con CPU que varían en velocidades de 160 a 240 MHz. El PowerPC 603e todavía lo venden IBM y Freescale, y otros como Atmel y Honeywell, que fabrican la variante RHPPC endurecida por radiación . El PowerPC 603e también fue el corazón del BeBox de Be Inc. El BeBox es notable ya que es un multiprocesamientosistema, algo para lo que el 603 no fue diseñado. IBM también usó procesadores PowerPC 603e en la serie IBM ThinkPad 800 . En determinadas series de osciloscopios digitales, LeCroy utilizó el PowerPC 603e como procesador principal. [16] [17] Los procesadores 603e también alimentan los 66 satélites de la flota de teléfonos satelitales Iridium . Cada uno de los satélites contiene siete procesadores Motorola / Freescale PowerPC 603e que funcionan a aproximadamente 200 MHz cada uno. También se utiliza un procesador 603e personalizado en el Mark 54 Lightweight Torpedo .

G2 [ editar ]

El núcleo PowerPC 603e, rebautizado como G2 por Freescale , es la base de muchos procesadores PowerQUICC II integrados y, como tal, sigue desarrollándose. Los procesadores SoC PowerQUICC II de Freescale llevan la designación MPC82xx y vienen en una variedad de configuraciones que alcanzan los 450 MHz.

e300 [ editar ]

Freescale ha mejorado el núcleo 603e, llamándolo e300 , en los procesadores integrados PowerQUICC II Pro . Se agregaron cachés L1 más grandes de 32/32 KB y otras medidas de mejora del rendimiento. Los procesadores PowerQUICC II Pro SoC de Freescale llevan la designación MPC83xx y vienen en una variedad de configuraciones que alcanzan velocidades de hasta 667 MHz. El e300 también es el núcleo del procesador SoC MPC5200B que se utiliza en la pequeña computadora EFIKA .

PowerPC 604 [ editar ]

Un Motorola PowerPC 604e de 233 MHz montado en una tarjeta de procesador Phase5 CyberstormPPC para las computadoras de la serie Commodore Amiga 4000

El PowerPC 604 se introdujo en diciembre de 1994 junto con el 603 y fue diseñado como un chip de alto rendimiento para estaciones de trabajo y servidores de nivel de entrada y, como tal, tenía soporte para multiprocesamiento simétrico en hardware. El 604 se utilizó ampliamente en los sistemas de gama alta de Apple y también en clones de Macintosh , estaciones de trabajo y servidores RS / 6000 de gama baja de IBM , placas de aceleración Amiga y como una CPU integrada para aplicaciones de telecomunicaciones.

El 604 es un procesador superescalar capaz de emitir cuatro instrucciones simultáneamente. El 604 tiene una tubería de seis etapas y seis unidades de ejecución que pueden trabajar en paralelo, terminando hasta seis instrucciones en cada ciclo. Dos unidades enteras simples y una compleja , una unidad de coma flotante , una unidad de procesamiento de sucursales que gestiona la ejecución fuera de orden y una unidad de carga / almacenamiento. Tiene cachés L1 de instrucciones y datos de 16 KB separados. La interfaz externa es un bus 60x de 32 o 64 bits que funciona a velocidades de reloj de hasta 50 MHz.

El PowerPC 604 contiene 3,6 millones de transistores y fue fabricado por IBM y Motorola con un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. La matriz midió 12,4 mm por 15,8 mm (196 mm 2 ) y dibujó 14-17 W a 133 MHz. Operaba a velocidades entre 100 y 180 MHz. [18] [19] [20]

  • Microprocesador Power PC 604 RISC, conferencia de Marvin Denman

PowerPC 604e [ editar ]

Un procesador IBM PowerPC 604e de 200 MHz en el módulo de CPU de un Apple Network Server 700

El PowerPC 604e se introdujo en julio de 1996 y agregó una unidad de registro de condición y cachés L1 de instrucciones y datos de 32 KB separados entre otros cambios en su subsistema de memoria y unidad de predicción de rama, lo que resultó en un aumento del rendimiento del 25% en comparación con su predecesor. Tenía 5,1 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0,35 μm con cinco niveles de interconexión. El troquel tenía un tamaño de 148 mm 2 o 96 mm 2 , fabricado por Motorola e IBM respectivamente, con un dibujo de 16–18 W a 233 MHz. Operó a velocidades entre 166 y 233 MHz y admitió un bus de memoria de hasta 66 MHz. [21] [22]

PowerPC 604ev "Mach5" [ editar ]

El PowerPC 604ev , 604r o "Mach 5" se introdujo en agosto de 1997 y era esencialmente un 604e fabricado por IBM y Motorola con un proceso más nuevo, que alcanzaba velocidades más altas con un menor consumo de energía. La matriz era pequeña de 47 mm 2 fabricada en un proceso CMOS de 0,25 μm con cinco niveles de interconexión y consumía 6 W a 250 MHz. Operó a velocidades entre 250 y 400 MHz y admitió un bus de memoria de hasta 100 MHz.

Mientras que Apple dejó caer el 604ev en 1998 a favor del PowerPC 750 , IBM siguió usándolo en modelos de nivel de entrada de sus computadoras RS / 6000 durante varios años.

PowerPC 620 [ editar ]

El PowerPC 620 fue la primera implementación de toda la arquitectura PowerPC de 64 bits . Era un PowerPC de segunda generación junto con el 603 y el 604, pero orientado al mercado de servidores y estaciones de trabajo de alta gama. Era poderoso en papel e inicialmente se suponía que se lanzaría junto con sus hermanos, pero se retrasó hasta 1997. Cuando llegó, el rendimiento fue comparativamente pobre y el 604e considerablemente más barato lo superó. [23] Por lo tanto, el 620 nunca se produjo en grandes cantidades y tuvo muy poco uso. El único usuario de PowerPC 620 fue Groupe Bull en su Escala UNIXmáquinas, pero no entregaron grandes cantidades. IBM, que tenía la intención de usarlo en estaciones de trabajo y servidores, decidió esperar a los procesadores RS64 y POWER3 de 64 bits aún más potentes .

El 620 fue producido por Motorola en un proceso de 0,5 μm. Tenía 6,9 millones de transistores y la matriz tenía un área de 311 mm 2 . Operaba a frecuencias de reloj entre 120 y 150 MHz y consumía 30 W a 133 MHz. Se construyó un modelo posterior utilizando un proceso de 0,35 μm, lo que le permitió alcanzar los 200 MHz. [ se necesita más explicación ]

El 620 era similar al 604. Tiene un pipeline de cinco etapas, mismo soporte para multiprocesamiento simétrico y el mismo número de unidades de ejecución; una unidad de carga / almacenamiento, una unidad de sucursal, una FPU y tres unidades enteras. Con cachés de datos e instrucciones de 32 KB más grandes, soporte para un caché L2 que puede tener una capacidad de 128  MBy unidades de sucursal y de carga / almacenamiento más potentes que tenían más búferes, el 620 era muy potente. La tabla de historial de sucursales también era más grande y podía enviar más instrucciones para que el procesador pueda manejar la ejecución fuera de orden de manera más eficiente que la 604. La unidad de punto flotante también se mejoró en comparación con la 604. Con un ciclo de recuperación más rápido y soporte para Varias instrucciones clave en hardware (como sqrt) lo hicieron, combinado con buses de datos más rápidos y más anchos, más eficiente que el FPU en el 604. [ se necesita más explicación ]

Buses 6XX y GX [ editar ]

El bus del sistema era un bus de memoria de 128 bits más ancho y rápido llamado bus 6XX . Fue diseñado para ser un bus de sistema para sistemas multiprocesador donde se conectarían procesadores, cachés, memoria y E / S, asistidos por un chip de control del sistema. Admite procesadores PowerPC de 32 y 64 bits, direcciones de memoria de más de 32 bits y entornos NUMA . También se usó en POWER3, RS64 y 601, así como en sistemas RS / 6000 basados ​​en 604 (con un chip puente). [24] El bus luego evolucionó hacia el bus GX del POWER4 , y más tarde GX + y GX ++ en POWER5 y POWER6 respectivamente. El bus GX también se utiliza en IBMMainframes z10 y z196 System z .

  • Contribución a la historia de Unix en Bull (lectura interesante sobre el uso de PowerPC 620 en Bull. En francés)

Familia extendida [ editar ]

PowerPC 602 [ editar ]

El PowerPC 602 era una versión simplificada de PowerPC 603, especialmente hecha para consolas de juegos por Motorola e IBM, introducida en febrero de 1995. [25] Tiene cachés L1 más pequeños (instrucciones de 4 KB y datos de 4 KB), una precisión simple unidad de coma flotante [25] y una unidad de predicción de rama reducida. Se ofreció a velocidades que iban de 50 a 80 MHz y consumía 1,2 W a 66 MHz. Constaba de 1 millón de transistores y tenía un tamaño de 50 mm 2 fabricado en un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. [26]

3DO desarrolló la consola de juegos M2 que usaba dos PowerPC 602, [25] [27] pero nunca se comercializó.

  • Artículo en el CPUShack

PowerPC 603q [ editar ]

El 21 de octubre de 1996, la empresa de semiconductores Quantum Effect Devices (QED) anunció un procesador compatible con PowerPC 603 llamado " PowerPC 603q " en el Microprocessor Forum . A pesar de su nombre, no tenía nada en común con ningún otro 603. Fue una implementación desde cero de la arquitectura PowerPC de 32 bits dirigida al mercado integrado de alta gama desarrollada durante dos años. Como tal, era pequeño, simple, energéticamente eficiente, pero poderoso; igualando al 603e más caro mientras consume menos energía. Tenía una canalización de cinco etapas en orden con una sola unidad entera, una precisión dobleunidad de punto flotante (FPU) e instrucciones separadas de 16 KB y cachés de datos de 8 KB. Si bien la unidad entera era un diseño completamente nuevo, el FPU se derivó del R4600 para ahorrar tiempo. Tenía un tamaño de 69 mm 2 utilizando un proceso de fabricación de 0,5 μm y consumía solo 1,2 W a 120 MHz. [28] [29]

El 603q fue diseñado para Motorola, pero se retiraron del contrato antes de que el 603q entrara en plena producción. Como resultado, el 603q fue cancelado porque QED no pudo continuar comercializando el procesador ya que carecían de una licencia de PowerPC propia.

PowerPC 613 [ editar ]

"PowerPC 613" parece ser un nombre que Motorola le había dado a una PowerPC de tercera generación. [30] [31] [32] Se supone que pasó a denominarse " PowerPC 750 " en respuesta a Exponencial Tecnología 's x704 procesador que fue diseñado para outgun el 604 por un amplio margen. Sin embargo, casi no hay fuentes que confirmen algo de esto y podría ser pura especulación o una referencia a un procesador completamente diferente.

PowerPC 614 [ editar ]

Similar a PowerPC 613, el "PowerPC 614" podría haber sido un nombre dado por Motorola a un PowerPC de tercera generación, [30] [32] y luego renombrado por la misma razón que 613. Se ha sugerido que la pieza fue renombrada como " PowerPC 7400 ", y Motorola incluso lo pasó a la cuarta generación de PowerPC a pesar de que las diferencias arquitectónicas entre" G3 "y" G4 "eran pequeñas. Sin embargo, casi no hay fuentes que confirmen algo de esto y podría ser pura especulación o una referencia a un procesador completamente diferente.

PowerPC 615 [ editar ]

El " PowerPC 615 " es un procesador PowerPC anunciado por IBM en 1994, pero que nunca alcanzó la producción en masa . Su característica principal era incorporar un núcleo x86 en la matriz, lo que permite que el procesador pueda procesar de forma nativa tanto las instrucciones PowerPC como las x86. [33] Un sistema operativo que se ejecuta en PowerPC 615 puede elegir ejecutar instrucciones PowerPC de 32 bits o 64 bits, instrucciones x86 de 32 bits o una combinación de tres. Las instrucciones de mezcla implicarían un cambio de contexto en la CPU con una pequeña sobrecarga. Los únicos sistemas operativos que admitían el 615 eran Minix y una versión de desarrollo especial de OS / 2 . [34]

Tenía un tamaño de 330 mm 2 y lo fabricaba IBM en un proceso de 0,35 μm. Fue pin compatible con Intel 's Pentium procesadores y comparables en velocidad. El procesador se introdujo solo como un prototipo y el programa fue aniquilado en parte por el hecho de que Microsoft nunca admitió el procesador. Los ingenieros que trabajaban en el PowerPC 615 luego encontrarían el camino a Transmeta , donde trabajaron en el procesador Crusoe .

PowerPC 625 [ editar ]

"PowerPC 625" fue el nombre inicial de los procesadores PowerPC de 64 bits de la serie Apache, diseñados por IBM basándose en el conjunto de instrucciones PowerPC-AS "Amazon". Más tarde fueron rebautizados como " RS64 ". La designación "PowerPC 625" nunca se utilizó para los procesadores finales.

PowerPC 630 [ editar ]

"PowerPC 630" fue el nombre inicial del procesador PowerPC de 64 bits de gama alta, diseñado por IBM para unificar los conjuntos de instrucciones POWER y PowerPC . Más tarde fue rebautizado como " POWER3 ", probablemente para distinguirlo de los procesadores "PowerPC" más orientados al consumidor utilizados por Apple .

PowerPC 641 [ editar ]

"PowerPC 641" , nombre en clave Habanero , es un proyecto de PowerPC desaparecido de IBM en el período 1994-1996. Se ha sugerido que era el PowerPC de tercera generación basado en el procesador 604. [35] [36]

Ver también [ editar ]

  • PowerPC 970
  • Arquitectura del conjunto de instrucciones de IBM POWER
  • Microprocesadores IBM POWER
  • Energía ISA

Referencias [ editar ]

  1. ^ Stokes, Jon (3 de agosto de 2004). "PowerPC en Apple: una historia de la arquitectura, parte I (página 2," PowerPC 601 ")" . Ars Technica .
  2. ^ "La interfaz de bus para microprocesadores de 32 bits" (PDF) . Motorola . 1997.
  3. ^ Allen, M .; Becker, M (febrero de 1993). Aspectos de multiprocesamiento del microprocesador PowerPC 601 . Compcon. págs. 117-126.
  4. ^ Becker, Michael K .; et al. (Septiembre de 1993). "El Microprocesador PowerPC 601". IEEE Micro : 54–68.
  5. ^ Moore, CR (febrero de 1993). El microprocesador PowerPC 601 . Compcon. págs. 109-116.
  6. ^ "Microprocesador PowerPC 601" . Archivado desde el original el 7 de febrero de 2009.
  7. ^ James Kahle; Deene Ogden. "Microprocesador PowerPC 603" . IBM. Archivado desde el original el 6 de agosto de 1997.
  8. ^ Linley Gwennap (27 de febrero de 1997). "Arthur revitaliza la línea PowerPC" (PDF) . Informe del microprocesador . Vol. 11 no. 2. Archivado desde el original (PDF) el 30 de julio de 2018. Los diminutos cachés de 8K del 603 eran notoriamente pobres para el software Mac OS, particularmente para la emulación de 68K; incluso los cachés del 603e provocan un impacto significativo en el rendimiento a velocidades de reloj más altas. Dado el objetivo de diseño de Arthur de 250 MHz y más, volver a duplicar las cachés tenía sentido.
  9. ^ Jansen, Daniel (2014). "CPU: PowerPC 603 y 603e" . Mac de gama baja . Consultado el 29 de julio de 2018 .
  10. ^ Barbero, Scott (1997). "Problemas de Performa y Power Mac x200" . Mac de gama baja . Consultado el 29 de julio de 2018 .
  11. ^ Davison, Remy. "Las 10 peores Mac jamás construidas" . Increíblemente genial Mac. Archivado desde el original el 1 de febrero de 2010 . Consultado el 30 de julio de 2018 .
  12. ^ Caballero, Daniel (2014). "Power Mac y Performa x200, Road Apples" . Mac de gama baja . Consultado el 29 de julio de 2018 .
  13. ^ "Performa 5200" . Mac de gama baja. 1995 . Consultado el 29 de julio de 2018 .
  14. ^ "Página 603e de Freescale" . Semiconductor de Freescale .
  15. ^ "Página 603e de IBM" . Archivado desde el original el 7 de febrero de 2009.
  16. ^ Catálogo de productos de prueba y medición LeCroy 1998, TMCAT98 0498
  17. ^ Catálogo de productos de prueba y medición LeCroy 2001
  18. ^ Stokes, Jon (3 de agosto de 2004). "PowerPC en Apple: una historia de la arquitectura, parte I (página 6, el PowerPC 604)" . Ars Technica.
  19. ^ Gwennap, Linley (18 de abril de 1994). "PPC 604 Powers Pasado Pentium". Informe del microprocesador . 8 (5).
  20. ^ Canción, Peter S .; Denman, Marvin; Chang, Joe (octubre de 1994). "El Microprocesador PowerPC 604 RISC". IEEE Micro .
  21. ^ "Página de IBM PowerPC 604e" .[ enlace muerto ]
  22. ^ "Página de PowerPC 604e de NXP" .
  23. ^ "IBM destruye los planes del sistema PowerPC 620" . Monitor de tecnología . New Statesman Media Group Ltd. 25 de agosto de 1997 . Consultado el 20 de marzo de 2021 .
  24. ^ Thompson, Tom; Ryan, Bob. "PowerPC 620 se dispara" . Byte. Archivado desde el original el 20 de diciembre de 1996.
  25. ^ a b c "M2". Próxima Generación . Imagine Media (6): 36–40. Junio ​​de 1995.
  26. ^ Especificación de hardware del microprocesador PowerPC 602 RISC
  27. ^ Información de la consola 3DO / Matsushita M2
  28. ^ "QED anuncia el desarrollo de tecnología de microprocesador PowerPC además de los microprocesadores MIPS existentes" (Comunicado de prensa). Dispositivos de efectos cuánticos . 21 de octubre de 1996. Archivado desde el original el 12 de julio de 2007.
  29. ^ Turley, Jim (18 de noviembre de 1996). "Cabezales PowerPC 603q de QED a bajo costo". Informe del microprocesador : 22–23.
  30. ^ a b PowerPC acelerando para la próxima generación: un RISC más rápido hasta el '97
  31. ^ Art Arizpe-Gerente de proyectos / Gerente de ingeniería Motorola, 1991-1996
  32. ^ a b Nombres en clave del procesador: PowerPC
  33. ^ Halfhill, Tom R. "Vistas alternativas del 615" . Byte . Archivado desde el original el 20 de diciembre de 1996.
  34. ^ "Microsoft mató al PowerPC 615" . El registro . 1 de octubre de 1998.
  35. ^ "Currículum de Charles Moore" (PDF) . Archivado desde el original (PDF) el 24 de julio de 2011.
  36. ^ Todos, David K. (1999). "G3: siguen mejorando" . Archivado desde el original el 10 de octubre de 1999.

Lectura adicional [ editar ]

  • Weiss, Shlomo; Smith, James Edward (1994). POWER y PowerPC . Morgan Kaufmann. ISBN 1558602798. - Partes relevantes: Capítulo 8 (describe el PowerPC 601) y Capítulo 11 (una comparación del PowerPC 601 y Alpha 21064)