De Wikipedia, la enciclopedia libre
Saltar a navegación Saltar a búsqueda

PowerPC G4 es una designación utilizada anteriormente por Apple y Eyetech para describir una cuarta generación de microprocesadores PowerPC de 32 bits . Apple ha aplicado este nombre a varios modelos de procesadores (aunque estrechamente relacionados) de Freescale , una antigua parte de Motorola . El nombre propio de Motorola y Freescale de esta familia de procesadores es PowerPC 74xx .

Las computadoras Macintosh , como las computadoras portátiles PowerBook G4 e iBook G4 y las computadoras de escritorio Power Mac G4 y Power Mac G4 Cube, tomaron su nombre del procesador. Los procesadores PowerPC G4 también se utilizaron en eMac , Xserves de primera generación, Mac Minis de primera generación e iMac G4 antes de la introducción del PowerPC 970 .

Apple eliminó por completo la serie G4 para modelos de escritorio después de seleccionar el procesador PowerPC 970 de 64 bits producido por IBM como base para su serie PowerPC G5. El último modelo de escritorio que usó el G4 fue el Mac Mini, que ahora viene con un procesador Apple M1 . El último portátil que usó el G4 fue el iBook G4, pero fue reemplazado por el MacBook basado en Intel . El PowerBook G4 ha sido reemplazado por el MacBook Pro basado en Intel .

Los procesadores PowerPC G4 también son populares en otros sistemas informáticos, como la serie de computadoras AmigaOne y los Pegasos de Genesi . Además de las computadoras de escritorio, el PowerPC G4 es popular en entornos integrados, como enrutadores, conmutadores de telecomunicaciones, procesamiento de imágenes, procesamiento de medios, aviónica y aplicaciones militares, donde se puede aprovechar AltiVec y sus capacidades SMP .

PowerPC 7400 [ editar ]

El PowerPC 7400 (cuyo nombre en código es "Max") debutó en agosto de 1999 y fue el primer procesador en llevar el apodo de "G4". El chip funciona a velocidades que van de 350 a 500 MHz y contiene 10,5 millones de transistores, fabricados con el proceso HiPerMOS6 de 0,20 μm de Motorola. La matriz mide 83 mm 2 y cuenta con interconexiones de cobre .

Motorola había prometido a Apple entregar piezas con una velocidad de hasta 500 MHz, pero inicialmente los rendimientos resultaron demasiado bajos. Esto obligó a Apple a retirar los modelos de 500 MHz anunciados de PowerMac G4 . La serie Power Mac se degradó abruptamente de las velocidades de procesador de 400, 450 y 500 MHz a 350, 400 y 450 MHz, mientras que los problemas con el chip se solucionaron. El incidente generó una ruptura en la relación Apple-Motorola y, según los informes, hizo que Apple pidiera ayuda a IBM para aumentar los rendimientos de producción en la línea de la serie Motorola 7400. [1] El modelo de 500 MHz se reintrodujo el 16 de febrero de 2000.

Diseño [ editar ]

Gran parte del diseño del 7400 fue realizado por Motorola en estrecha cooperación con Apple e IBM . IBM, el tercer miembro de la alianza AIM , diseñó el chip junto con Motorola en su centro de diseño de Somerset, pero optó por no fabricarlo, porque en ese entonces no veía la necesidad de la Unidad de Procesamiento de Vector. En última instancia, el diseño de la arquitectura G4 contenía una unidad de procesamiento vectorial de 128 bits denominada AltiVec de Motorola, mientras que el departamento de marketing de Apple se refería a ella como "Velocity Engine".

El PowerPC 970 (G5) fue la primera CPU fabricada por IBM en implementar VMX / AltiVec , para lo cual IBM reutilizó el antiguo diseño 7400 que todavía tenían del diseño que hicieron con Motorola en Somerset. La CPU Xenon en la Xbox 360 también cuenta con VMX, con extensiones propietarias agregadas hechas especialmente para Microsoft. POWER6 , introducido en 2007, es la primera CPU de " gran hierro " de IBM que también implementa VMX.

Con la unidad AltiVec, el microprocesador 7400 puede hacer matemáticas de punto flotante de precisión simple de cuatro vías (32 bits), o matemáticas de enteros de 16 vías de 8 bits, 8 vías de 16 bits o de cuatro vías de 32 bits en una sola ciclo. Además, la unidad de procesamiento de vectores es superescalar y puede realizar dos operaciones vectoriales al mismo tiempo. En comparación con Intel 's x86 microprocesadores en el momento, esta característica ofrece una mejora de rendimiento considerable para aplicaciones diseñadas para aprovechar las ventajas de la unidad AltiVec. Algunos ejemplos son Adobe Photoshop, que utiliza la unidad AltiVec para una representación más rápida de efectos y transiciones, y la suite iLife de Apple, que aprovecha la unidad para importar y convertir archivos sobre la marcha.

Además, el 7400 tiene soporte mejorado para multiprocesamiento simétrico (SMP) gracias a un protocolo de coherencia de caché mejorado ( MERSI ) y una unidad de punto flotante de 64 bits (FPU), derivada en parte de la serie 604 . La serie 603 tenía una FPU de 32 bits, que requería dos ciclos de reloj para lograr la aritmética de coma flotante de 64 bits .

La familia PowerPC G4 admite dos tecnologías de bus, el bus 60x más antiguo que comparte con las familias PowerPC 600 y PowerPC 7xx , y el bus MPX más avanzado. Los dispositivos que utilizan el bus 60x se pueden hacer compatibles con procesadores 6xx o 7xx, lo que permite una amplia variedad de ofertas y una ruta de actualización clara y económica, manteniendo los problemas de compatibilidad al mínimo. Hay principalmente dos empresas que fabrican controladores de sistemas para computadoras 7xx y 7xxx, Tundra con sus controladores Tsi1xx y Marvell con sus controladores Discovery.

PowerPC 7410 [ editar ]

El PowerPC 7410 "Nitro" es una versión de bajo consumo del 7400, pero se fabricó a 180 nm en lugar de 200 nm. Al igual que el 7400, tiene 10,5 millones de transistores. Debutó en el PowerBook G4 el 9 de enero de 2001.

El chip agregó la capacidad de usar todo o la mitad de su caché como memoria de alta velocidad sin caché asignada al espacio de direcciones físicas del procesador, según se desee. Esta función fue utilizada por proveedores de sistemas integrados como Mercury Computer Systems .

PowerPC 7450 [ editar ]

Un Motorola PowerPC 7450 de 800 MHz en un módulo de CPU Power Mac G4
Diseño PowerPC G4e

El PowerPC 7450 "Voyager" / "V'ger" fue el único rediseño importante del procesador G4. El chip de transistor de 33 millones extendió significativamente la tubería de ejecución de 7400 (7 vs.4 etapas mínimo) para alcanzar velocidades de reloj más altas, rendimiento de instrucción mejorado (3 + rama vs 2 + rama por ciclo) para compensar una mayor latencia de instrucción, reemplazado una caché L2 externa (hasta 2 MB de conjunto asociativo de 2 vías, ruta de datos de 64 bits) con una integrada (256 KB asociativa de conjunto de 8 vías, ruta de datos de 256 bits), compatible con una caché externa L3 (hasta 2 MB asociativo de 8 vías, ruta de datos de 64 bits) y presentó muchos otros avances arquitectónicos. La unidad AltiVec se mejoró con el 7450; en lugar de ejecutar una instrucción de permutación vectorialy una instrucción de vector ALU (simple int, complex int, float) por ciclo como 7400/7410, el 7450 y sus seguidores Motorola / Freescale pueden ejecutar dos instrucciones vectoriales arbitrarias simultáneamente (permute, simple int, complex int, float). Se introdujo con el Power Mac G4 de 733 MHz el 9 de enero de 2001. Motorola siguió con un lanzamiento provisional, el 7451, con nombre en código "Apollo 6", al igual que el 7455. Los primeros ordenadores AmigaOne XE se enviaron con el procesador 7451.

Las mejoras al diseño del 745x le dieron los apodos G4e o G4 +, pero nunca fueron designaciones oficiales.

PowerPC 7445 y 7455 [ editar ]

Un prototipo de 1.25 GHz Sample 7445 EVT en un modelo iMac G4 DVT

El PowerPC 7455 "Apollo 6" se presentó en enero de 2002. Viene con una ruta de caché en chip más amplia de 256 bits y se fabricó en el proceso HiPerMOS de 0,18 μm (180 nm) de Motorola con interconexiones de cobre y SOI . Fue el primer procesador en una computadora Apple en pasar la marca de 1 GHz. El 7445 es el mismo chip sin la interfaz de caché L3. El 7455 se utiliza en el AmigaOne XE G4 y el Power Mac G4 dual de 1 GHz (Quicksilver 2002)

PowerPC 7447 y 7457 [ editar ]

El PowerPC 7447 "Apollo 7" se ha mejorado ligeramente con respecto al 7450/55, tiene una caché L2 en el chip de 512 KB y se fabricó en un proceso de 130 nm con SOI, por lo que consume menos energía. Tiene 58 millones de transistores. Con el 7447A, que introdujo un diodo térmico integrado y DFS ( escala de frecuencia dinámica ), Freescale pudo alcanzar un reloj ligeramente más alto. [ aclaración necesaria ] El 7457 tiene una interfaz de caché L3 adicional, que admite hasta 4 MB de caché L3, en comparación con los 2 MB admitidos por el 7455 y el 7450. Sin embargo, su escala de frecuencia se estancó cuando Apple eligió usar el 7447 en lugar del 7457 , a pesar de que el 7457 fue el sucesor habilitado para caché L3 del 7455 habilitado para caché L3 que Apple usaba antes.

Las únicas empresas que ofrecen el 7457 en forma de actualizaciones para Power Mac G4 , iMac G4 y Power Mac G4 Cube son Giga Designs, Sonnet Technology, Daystar Technology (utilizan el 7457 solo para actualizaciones de iMac G4) y PowerLogix. La plataforma informática Pegasos de Genesi también usa 7447 en su Pegasos-II / G4.

El 7457 se usa a menudo para reparar un módulo de CPU AmigaOne XE; [2] [3] [4] [5] alguna AmigaOS software con el 7457 instalado puede confundir la AmigaOne para un equipo Pegasos II como nunca hubo 7457 tablones de anuncios oficiales publicados por Eyetech .

PowerPC 7448 [ editar ]

El PowerPC 7448 "Apollo 8" es una evolución del PowerPC 7447A anunciado en el primer Freescale Technology Forum en junio de 2005. Las mejoras fueron velocidades de reloj más altas (hasta 1,7 GHz) oficial y fácilmente hasta 2,4 GHz mediante overclocking , un 1 MB más grande Caché L2, un bus frontal más rápido de 200 MHz y menor consumo de energía (18 W a 1,7 GHz). Fue fabricado en un proceso de 90 nm con interconexiones de cobre y SOI.

Los usuarios de PowerPC 7448 fueron:

  • Daystar para sus actualizaciones de PowerBook G4 de aluminio de alta resolución (las actualizaciones de PowerBook G4 de aluminio de baja resolución de Daystar utilizaron el 7447A, no el 7448)
  • NewerTech para sus actualizaciones de Power Mac G4
  • PowerLogix para su actualización Power Mac G4 Cube
  • Cisco en módulo de procesador de red NPE-G2 para sus enrutadores 7200VXR [6]
  • Enrutador Cisco 7201 [7]
  • Extreme Engineering Solutions para su computadora de placa única XPedite6244 [8]
  • Aitech para su computadora de placa única CompactPCI C104 [9]
  • Emerson Network Power para su módulo PMC PmPPC7448 [10]

e600 [ editar ]

En 2004, Freescale cambió el nombre del núcleo G4 a e600 y cambió su enfoque de las CPU generales a los dispositivos SoC integrados de alta gama , e introdujo un nuevo esquema de nombres, MPC86xx. El 7448 iba a ser el último G4 puro y formaba la base del nuevo núcleo e600 con una tubería de siete etapas y tres problemas, y una poderosa unidad de predicción de rama que maneja hasta dieciséis instrucciones fuera de orden. Tiene una unidad AltiVec mejorada capaz de ejecución limitada fuera de orden y una caché L2 de 1 MB.

Lista de dispositivos [ editar ]

Esta lista es una lista completa de diseños conocidos basados ​​en G4 (excluidos los diseños core e600 más nuevos). Las imágenes son ilustraciones y no están a escala.

Referencias [ editar ]

  1. ^ Motorola e IBM revelan planes de PowerPC
  2. ^ http://www.intuitionbase.com/printstatic.php?section=en_cpu-repair&char=
  3. ^ http://www.amigans.net/modules/xforum/viewtopic.php?forum=4&topic_id=7503&order=
  4. ^ "Nuevo sitio web corporativo de ACube Systems" (Comunicado de prensa). Bassano del Grappa, Italia: ACube. 24 de febrero de 2007 . Consultado el 12 de junio de 2014 .
  5. ^ "ACube Systems: página web de la empresa" . Amiga-News.de. 25 de febrero de 2007 . Consultado el 11 de junio de 2014 .
  6. ^ Instalación y configuración del motor de procesamiento de red y del motor de servicios de red de Cisco Systems, Inc.
  7. ^ Especificaciones del producto para el enrutador Cisco 7201
  8. ^ [1]
  9. ^ [2]
  10. ^ [3]
  • Diefendorff, Keith (25 de octubre de 1999). "PowerPC G4 gana velocidad". Informe del microprocesador . págs. 10-15.
  • Gwennap, Linley (16 de noviembre de 1998). "G4 es el primer PowerPC con AltiVec". Informe del microprocesador .
  • Halfhill, Tom R. (5 de julio de 2005). "PowerPC aún no ha muerto". Informe del microprocesador . págs. 13-15.